• Maciej Lipinski's avatar
    [wr_streamers] added generic to set simulation startup timer value · 359052ac
    Maciej Lipinski authored
    when streamers are used in a simulation of top entity, the startup
    timer is needed, thought it should be appropriate for the simulation
    time. when streamers are simulated alone, the startup timer is
    not needed. the added generic allows to set the timer (i.e. override
    the default value to zero)
    359052ac
Name
Last commit
Last update
bin Loading commit data...
board Loading commit data...
ip_cores Loading commit data...
modules Loading commit data...
platform Loading commit data...
sim Loading commit data...
syn Loading commit data...
testbench Loading commit data...
top Loading commit data...
.gitignore Loading commit data...
.gitmodules Loading commit data...
Manifest.py Loading commit data...