Commit e3191a2e authored by CI's avatar CI

Testebench wr_streamers/streamer-only_basic-transfer use Riviera-Pro

parent b376863b
Pipeline #3506 canceled with stage
......@@ -4,7 +4,8 @@
#TB_DIRS=wr_minic
#TB_DIRS+=wrc_core
#TB_DIRS+=xwrf_loopback
TB_DIRS+=wr_ep_prbs_checker
#TB_DIRS+=wr_ep_prbs_checker
TB_DIRS+=wr_streamers/streamers-only_basic-transfer
#TB_DIRS+=xwrf_mux
#TB_DIRS+=wr_streamers/streamers_multi_test
......
action= "simulation"
target= "xilinx"
syn_device="xc6slx45t"
sim_tool="modelsim"
#sim_tool="modelsim"
sim_tool="riviera"
top_module="main"
vcom_opt="-packagevhdlsv"
fetchto="../../../ip_cores"
include_dirs=["../../../sim"]
......
......@@ -180,15 +180,14 @@ module main;
// Client-side reception logic. Compares the received records with their copies
// stored in the queue.
always@(posedge clk)
if(!rst)
begin
rx_streamer_dreq <= 0;
end else begin
$display("rx_latency = %h",rx_latency);
// throttle the RX path a little bit
rx_streamer_dreq <= {$random}%100 < 80;
if(rx_streamer_dvalid)
begin
// Got a record? Compare it against the copy stored in queue.
......
# make -f Makefile > /dev/null 2>&1
vsim -L unisim work.main -voptargs="+acc" -suppress 8684,8683
vsim -L unisim work.main -voptargs="+acc"
set NumericStdNoWarnings 1
set StdArithNoWarnings 1
......
# make -f Makefile > /dev/null 2>&1
vsim -L unisim work.main +access +r -sv_seed random
set NumericStdNoWarnings 1
set StdArithNoWarnings 1
do wave_ci.do
run 100ms
wave zoomfull
radix -hex
onerror {resume}
transcript on
add wave /main/U_TX_Streamer/clk_sys_i
add wave /main/U_TX_Streamer/rst_n_i
add wave -divider {TX streamer - User side}
add wave /main/U_TX_Streamer/tx_data_i
add wave /main/U_TX_Streamer/tx_reset_seq_i
add wave /main/U_TX_Streamer/tx_valid_i
add wave /main/U_TX_Streamer/tx_dreq_o
add wave /main/U_TX_Streamer/tx_last_p1_i
add wave /main/U_TX_Streamer/tx_flush_p1_i
add wave -divider Wishbone
add wave /main/mac/g_data_width
add wave /main/mac/g_addr_width
add wave /main/mac/adr
add wave /main/mac/dat_o
add wave /main/mac/dat_i
add wave /main/mac/sel
add wave /main/mac/ack
add wave /main/mac/stall
add wave /main/mac/err
add wave /main/mac/rty
add wave /main/mac/cyc
add wave /main/mac/stb
add wave /main/mac/we
add wave -divider {RX streamer - user side}
add wave /main/U_RX_Streamer/rx_data_o
add wave /main/U_RX_Streamer/rx_valid_o
add wave /main/U_RX_Streamer/rx_dreq_i
add wave /main/U_RX_Streamer/rx_latency_o
add wave /main/U_RX_Streamer/rx_latency_valid_o
add wave /main/U_RX_Streamer/rx_first_p1_o
add wave /main/U_RX_Streamer/rx_last_p1_o
add wave /main/U_RX_Streamer/rx_lost_p1_o
null TreeUpdate [null SetDefaultTree]
wv.cursors.add -time {1370 ns} -name {Cursor 1}
null configure wave -namecolwidth 150
null configure wave -valuecolwidth 152
null configure wave -justifyvalue left
null configure wave -signalnamewidth 1
null configure wave -snapdistance 10
null configure wave -datasetprefix 0
null configure wave -rowmargin 4
null configure wave -childrowmargin 2
null configure wave -gridoffset 0
null configure wave -gridperiod 1
null configure wave -griddelta 40
null configure wave -timeline 0
null configure wave -timelineunits ns
update
wv.zoom.range -from {0 ns} -to {10500 ns}
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment