Commit dae412fa authored by Tomasz Wlostowski's avatar Tomasz Wlostowski Committed by Grzegorz Daniluk

platform/xilinx: include Xilinx's GTHE4 IP in Manifest

parent 2db87d93
Pipeline #427 failed with stages
in 6 seconds