Commit d569b726 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

platform/xilinx: don't constrain clock frequencies in the GTHE4 XDC file, they…

platform/xilinx: don't constrain clock frequencies in the GTHE4 XDC file, they are already set in the top level XDC
parent 5e0ea46e
Pipeline #3085 failed with stage
in 0 seconds