Commit c8fd57ea authored by Maciej Lipinski's avatar Maciej Lipinski
Browse files

endpoint: small bugfixes in injection module and tx header processor + adding...

endpoint: small bugfixes in injection module and tx header processor + adding missing description to wbgen file
parent eed405bf
......@@ -401,7 +401,7 @@ begin -- behavioral
when x"6" =>
src_fab_o.data <= f_pick(g_with_packet_injection, "XXXXXXXXXXXXXXXX", x"8808");
when x"7" =>
src_fab_o.data <= f_pick(g_with_packet_injection, "XXXXXXXXXXXXXXXXX", fc_pause_delay_i);
src_fab_o.data <= f_pick(g_with_packet_injection, "XXXXXXXXXXXXXXXX", fc_pause_delay_i);
state <= TXF_PAD;
when others =>
state <= TXF_PAD;
......
......@@ -134,7 +134,7 @@ begin -- rtl
inj_src.sof <= '0';
inj_src.eof <= '0';
inj_src.dvalid <= '0';
inj_src.error <= '0';
-- inj_src.error <= '0';
select_inject <= '0'; -- added by ML
counter(8 downto 6) <= unsigned(inject_packet_sel_i);
......
......@@ -254,6 +254,7 @@ peripheral {
name = "RX 802.1q port mode";
description = "00: ACCESS port - tags untagged received packets with VID from RX_VID field. Drops all tagged packets not belonging to RX_VID VLAN\
01: TRUNK port - passes only tagged VLAN packets. Drops all untagged packets.\
10: VLAN disabled on port - passes the packets as is.\
11: unqualified port - passes all traffic regardless of VLAN configuration";
type = SLV;
size = 2;
......
Markdown is supported
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment