Commit 91eb6396 authored by Peter Jansweijer's avatar Peter Jansweijer

xwrc_board_common: expose SoftPLL's g_use_sampled_ref_clocks generic & associated interface

parent 8571d366
......@@ -115,6 +115,7 @@ package wr_board_pkg is
g_address_granularity : t_wishbone_address_granularity := BYTE;
g_aux_sdb : t_sdb_device := c_wrc_periph3_sdb;
g_softpll_enable_debugger : boolean := FALSE;
g_softpll_use_sampled_ref_clocks : boolean := FALSE;
g_vuart_fifo_size : integer := 1024;
g_pcs_16bit : boolean := FALSE;
g_diag_id : integer := 0;
......
......@@ -65,6 +65,7 @@ entity xwrc_board_common is
g_address_granularity : t_wishbone_address_granularity := BYTE;
g_aux_sdb : t_sdb_device := c_wrc_periph3_sdb;
g_softpll_enable_debugger : boolean := FALSE;
g_softpll_use_sampled_ref_clocks : boolean := FALSE;
g_vuart_fifo_size : integer := 1024;
g_pcs_16bit : boolean := FALSE;
g_diag_id : integer := 0;
......@@ -386,6 +387,7 @@ begin -- architecture struct
g_address_granularity => g_address_granularity,
g_aux_sdb => g_aux_sdb,
g_softpll_enable_debugger => g_softpll_enable_debugger,
g_softpll_use_sampled_ref_clocks => g_softpll_use_sampled_ref_clocks,
g_vuart_fifo_size => g_vuart_fifo_size,
g_pcs_16bit => g_pcs_16bit,
g_records_for_phy => TRUE,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment