Commit 8c0caf4f authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

wr_endpoint/ep_rx_bypass_queue.vhd: removed dependency on Xilinx simulation libraries

parent d880b001
...@@ -37,9 +37,6 @@ ...@@ -37,9 +37,6 @@
library ieee; library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
entity ep_rx_bypass_queue is entity ep_rx_bypass_queue is
generic( generic(
g_size : integer := 3; g_size : integer := 3;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment