Commit 7f8353f1 authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

endpoint/rx_vlan_unit: trivial clean-up, removing _old-stuff_ comments, we use…

endpoint/rx_vlan_unit: trivial clean-up, removing _old-stuff_ comments, we use git, no need to keep them
parent b312597d
......@@ -281,7 +281,6 @@ begin -- behavioral
hdr_offset <= hdr_offset(hdr_offset'left-1 downto 0) & '0';
end if;
-- new stuff
if(v_next_state = INSERT_TAG) then
src_fab_o.eof <= '0';
src_fab_o.dvalid <= '1';
......@@ -298,14 +297,6 @@ begin -- behavioral
src_fab_o.bytesel <= v_src_fab.bytesel;
end if;
-- old stuff
-- src_fab_o.eof <= v_src_fab.eof;
-- src_fab_o.dvalid <= v_src_fab.dvalid;
-- src_fab_o.error <= v_src_fab.error;
-- src_fab_o.addr <= v_src_fab.addr;
-- src_fab_o.data <= v_src_fab.data;
-- src_fab_o.bytesel <= v_src_fab.bytesel;
dreq_mask <= v_dreq_mask;
stored_fab <= v_stored_fab;
state <= v_next_state;
......@@ -343,7 +334,6 @@ begin -- behavioral
-- we are at 7th word from the beginning of the frame, but the sink reception
-- is disabled, so we can insert the original ethertype as the TPID
-- new stuff
if(hdr_offset(7) = '1') then
src_fab_o.addr <= c_WRF_DATA;
src_fab_o.data <= regs_i.vcr0_prio_val_o & '0' & regs_i.vcr0_pvid_o;
......@@ -373,29 +363,6 @@ begin -- behavioral
state <= DATA;
end if;
-- old stuff
-- if(hdr_offset(7) = '1') then
-- src_fab_o.addr <= c_WRF_DATA;
-- src_fab_o.data <= x"8100";
-- src_fab_o.dvalid <= '1';
-- end if;
--
-- if(hdr_offset(8) = '1') then
-- src_fab_o.addr <= c_WRF_DATA;
-- src_fab_o.data <= regs_i.vcr0_prio_val_o & '0' & regs_i.vcr0_pvid_o;
-- src_fab_o.dvalid <= '1';
-- vid_o <= regs_i.vcr0_pvid_o; -- use the inserted PVID
-- dreq_mask <= '1';
-- end if;
--
-- if(hdr_offset(9) = '1') then
-- src_fab_o.addr <= c_WRF_DATA;
-- src_fab_o.data <= stored_ethertype;
-- src_fab_o.dvalid <= '1';
-- dreq_mask <= '1';
-- state <= DATA;
-- end if;
hdr_offset <= hdr_offset(hdr_offset'left-1 downto 0) & '0';
else
src_fab_o.dvalid <= '0';
......@@ -448,6 +415,3 @@ begin -- behavioral
end behavioral;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment