Commit 7f67ff55 authored by Peter Jansweijer's avatar Peter Jansweijer

point to standard kintex-7 gtx (net yet low-phase-drift)

parent 054200a6
Pipeline #2121 failed with stage
in 0 seconds
...@@ -80,12 +80,12 @@ elif (syn_device[0:4].upper()=="XC7K" or # Family 7 GTX (Kintex7 and Virtex7 585 ...@@ -80,12 +80,12 @@ elif (syn_device[0:4].upper()=="XC7K" or # Family 7 GTX (Kintex7 and Virtex7 585
syn_device[0:8].upper()=="XC7V2000" or syn_device[0:8].upper()=="XC7V2000" or
syn_device[0:8].upper()=="XC7VX485"): syn_device[0:8].upper()=="XC7VX485"):
files.extend([ files.extend([
#"family7-gtx/wr_gtx_phy_family7.vhd", "family7-gtx/wr_gtx_phy_family7.vhd",
#"family7-gtx/whiterabbit_gtxe2_channel_wrapper_gt.vhd", "family7-gtx/whiterabbit_gtxe2_channel_wrapper_gt.vhd"]);
"kintex7-lp/gtx_comma_detect_lp.vhd", #"kintex7-lp/gtx_comma_detect_lp.vhd",
"kintex7-lp/wr_gtx_phy_kintex7_lp.vhd", #"kintex7-lp/wr_gtx_phy_kintex7_lp.vhd",
"kintex7-lp/wr_gtx_phy_kintex7_lp_qpll.vhd", #"kintex7-lp/wr_gtx_phy_kintex7_lp_qpll.vhd",
"kintex7-lp/gtxe2_lp.vhd"]); #"kintex7-lp/gtxe2_lp.vhd"]);
elif (syn_device[0:4].upper()=="XC7V"): # Family 7 GTH (other Virtex7 devices) elif (syn_device[0:4].upper()=="XC7V"): # Family 7 GTH (other Virtex7 devices)
files.extend(["family7-gth/wr_gth_phy_family7.vhd", files.extend(["family7-gth/wr_gth_phy_family7.vhd",
"whiterabbit_gthe2_channel_wrapper_gt.vhd", "whiterabbit_gthe2_channel_wrapper_gt.vhd",
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment