Skip to content
Projects
Groups
Snippets
Help
Loading...
Sign in
Toggle navigation
W
White Rabbit core collection
Project
Project
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
Issues
30
Issues
30
List
Board
Labels
Milestones
Merge Requests
1
Merge Requests
1
CI / CD
CI / CD
Pipelines
Schedules
Wiki
Wiki
image/svg+xml
Discourse
Discourse
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Create a new issue
Commits
Issue Boards
Open sidebar
Projects
White Rabbit core collection
Commits
7955995d
Commit
7955995d
authored
Jun 12, 2013
by
Mathias Kreider
Browse files
Options
Browse Files
Download
Email Patches
Plain Diff
moved Exploder to GSI bel_projects@github
parent
f2a6f970
Expand all
Hide whitespace changes
Inline
Side-by-side
Showing
17 changed files
with
0 additions
and
3187 deletions
+0
-3187
Makefile
syn/gsi_exploder/wr_core_demo/Makefile
+0
-33
Manifest.py
syn/gsi_exploder/wr_core_demo/Manifest.py
+0
-16
exploder_top.qpf
syn/gsi_exploder/wr_core_demo/exploder_top.qpf
+0
-1
exploder_top.qsf
syn/gsi_exploder/wr_core_demo/exploder_top.qsf
+0
-541
exploder_top.tcl
syn/gsi_exploder/wr_core_demo/exploder_top.tcl
+0
-1
Makefile
syn/gsi_scu/wr_core_demo/Makefile
+0
-33
Manifest.py
syn/gsi_scu/wr_core_demo/Manifest.py
+0
-14
scu.qpf
syn/gsi_scu/wr_core_demo/scu.qpf
+0
-1
scu.qsf
syn/gsi_scu/wr_core_demo/scu.qsf
+0
-939
scu.tcl
syn/gsi_scu/wr_core_demo/scu.tcl
+0
-2
Manifest.py
top/gsi_exploder/wr_core_demo/Manifest.py
+0
-7
exploder_top.cof
top/gsi_exploder/wr_core_demo/exploder_top.cof
+0
-21
exploder_top.sdc
top/gsi_exploder/wr_core_demo/exploder_top.sdc
+0
-18
exploder_top.vhd
top/gsi_exploder/wr_core_demo/exploder_top.vhd
+0
-891
Manifest.py
top/gsi_scu/wr_core_demo/Manifest.py
+0
-7
scu_top.sdc
top/gsi_scu/wr_core_demo/scu_top.sdc
+0
-34
scu_top.vhd
top/gsi_scu/wr_core_demo/scu_top.vhd
+0
-628
No files found.
syn/gsi_exploder/wr_core_demo/Makefile
deleted
100644 → 0
View file @
f2a6f970
TARGET
=
exploder_top
DEVICE
=
EP2AGX125
FLASH
=
EPCS128
QUARTUS
?=
/opt/quartus
QUARTUS_BIN
=
$(QUARTUS)
/bin
all
:
$(TARGET).sof $(TARGET).jic $(TARGET).rpd
clean
:
rm
-rf
db incremental_db PLLJ_PLLSPE_INFO.txt
rm
-f
$(TARGET)
.
*
.rpt
$(TARGET)
.
*
.summary
$(TARGET)
.map
*
$(TARGET)
.fit.
*
$(TARGET)
.pin
$(TARGET)
.jdi
$(TARGET)
*
.qdf
$(TARGET)
.done
$(TARGET)
.qws
rm
-f
$(TARGET)
.rpd
$(TARGET)
.jic
$(TARGET)
.pof
$(TARGET)
.sof
$(TARGET)
.dep
%.sof
:
%.qsf
hdlmake
--quartus-proj
-v
|
sed
-n
-e
's/ *$$/:/;s/^.* Parsing manifest file: *//p'
>
$*
.dep
sed
-n
-e
's/"//g;s/quartus_sh://;s/$$/:/;s/set_global_assignment.*-name.*_FILE //p'
<
$<
>>
$*
.dep
echo
"
$*
.sof
$@
:
$<
"
`
sed
's/ *: *$$//'
<
$*
.dep
`
>>
$*
.dep
$(QUARTUS_BIN)
/quartus_sh
--tcl_eval
load_package flow
\;
project_open
$*
\;
execute_flow
-compile
%.opt
:
%.sof
echo
"BITSTREAM_COMPRESSION=ON"
>
$@
%.jic
:
%.sof %.opt
$(QUARTUS_BIN)
/quartus_cpf
-c
-o
$*
.opt
-d
$(FLASH)
-s
$(DEVICE)
$<
$@
%.pof
:
%.sof %.opt
$(QUARTUS_BIN)
/quartus_cpf
-c
-o
$*
.opt
-d
$(FLASH)
$<
$@
%.rpd
:
%.pof
$(QUARTUS_BIN)
/quartus_cpf
-c
-o
$*
.opt
$<
$@
-include
$(TARGET).dep
syn/gsi_exploder/wr_core_demo/Manifest.py
deleted
100644 → 0
View file @
f2a6f970
target
=
"altera"
action
=
"synthesis"
fetchto
=
"../../../ip_cores"
syn_device
=
"ep2agx125df"
syn_grade
=
"c6"
syn_package
=
"25"
syn_top
=
"exploder_top"
syn_project
=
"exploder_top"
quartus_preflow
=
"exploder_top.tcl"
modules
=
{
"local"
:
[
"../../../"
,
"../../../top/gsi_exploder/wr_core_demo"
]}
syn/gsi_exploder/wr_core_demo/exploder_top.qpf
deleted
100644 → 0
View file @
f2a6f970
PROJECT_REVISION = "exploder_top"
syn/gsi_exploder/wr_core_demo/exploder_top.qsf
deleted
100644 → 0
View file @
f2a6f970
This diff is collapsed.
Click to expand it.
syn/gsi_exploder/wr_core_demo/exploder_top.tcl
deleted
100644 → 0
View file @
f2a6f970
source
../../../platform/altera/wr_arria2_phy/wr_arria2_phy.tcl
syn/gsi_scu/wr_core_demo/Makefile
deleted
100644 → 0
View file @
f2a6f970
TARGET
=
scu
DEVICE
=
EP2AGX125
FLASH
=
EPCS128
QUARTUS
?=
/opt/quartus
QUARTUS_BIN
=
$(QUARTUS)
/bin
all
:
$(TARGET).sof $(TARGET).jic $(TARGET).rpd
clean
:
rm
-rf
db incremental_db PLLJ_PLLSPE_INFO.txt
rm
-f
$(TARGET)
.
*
.rpt
$(TARGET)
.
*
.summary
$(TARGET)
.map
*
$(TARGET)
.fit.
*
$(TARGET)
.pin
$(TARGET)
.jdi
$(TARGET)
*
.qdf
$(TARGET)
.done
$(TARGET)
.qws
rm
-f
$(TARGET)
.rpd
$(TARGET)
.jic
$(TARGET)
.pof
$(TARGET)
.sof
$(TARGET)
.dep
%.sof
:
%.qsf
hdlmake
--quartus-proj
-v
|
sed
-n
-e
's/ *$$/:/;s/^.* Parsing manifest file: *//p'
>
$*
.dep
sed
-n
-e
's/"//g;s/quartus_sh://;s/$$/:/;s/set_global_assignment.*-name.*_FILE //p'
<
$<
>>
$*
.dep
echo
"
$*
.sof
$@
:
$<
"
`
sed
's/ *: *$$//'
<
$*
.dep
`
>>
$*
.dep
$(QUARTUS_BIN)
/quartus_sh
--tcl_eval
load_package flow
\;
project_open
$*
\;
execute_flow
-compile
%.opt
:
%.sof
echo
"BITSTREAM_COMPRESSION=ON"
>
$@
%.jic
:
%.sof %.opt
$(QUARTUS_BIN)
/quartus_cpf
-c
-o
$*
.opt
-d
$(FLASH)
-s
$(DEVICE)
$<
$@
%.pof
:
%.sof %.opt
$(QUARTUS_BIN)
/quartus_cpf
-c
-o
$*
.opt
-d
$(FLASH)
$<
$@
%.rpd
:
%.pof
$(QUARTUS_BIN)
/quartus_cpf
-c
-o
$*
.opt
$<
$@
-include
$(TARGET).dep
syn/gsi_scu/wr_core_demo/Manifest.py
deleted
100644 → 0
View file @
f2a6f970
target
=
"altera"
action
=
"synthesis"
fetchto
=
"../../../ip_cores"
syn_device
=
"ep2agx125ef"
syn_grade
=
"c5"
syn_package
=
"29"
syn_top
=
"scu_top"
syn_project
=
"scu"
quartus_preflow
=
"scu.tcl"
modules
=
{
"local"
:
[
"../../../"
,
"../../../top/gsi_scu/wr_core_demo"
]}
syn/gsi_scu/wr_core_demo/scu.qpf
deleted
100644 → 0
View file @
f2a6f970
PROJECT_REVISION = "scu"
syn/gsi_scu/wr_core_demo/scu.qsf
deleted
100644 → 0
View file @
f2a6f970
This diff is collapsed.
Click to expand it.
syn/gsi_scu/wr_core_demo/scu.tcl
deleted
100644 → 0
View file @
f2a6f970
source
../../../ip_cores/general-cores/platform/altera/wb_pcie/arria2.tcl
source
../../../platform/altera/wr_arria2_phy/wr_arria2_phy.tcl
top/gsi_exploder/wr_core_demo/Manifest.py
deleted
100644 → 0
View file @
f2a6f970
fetchto
=
"../../../ip_cores"
modules
=
{
"git"
:
"git://ohwr.org/hdl-core-lib/etherbone-core.git"
};
files
=
[
"exploder_top.sdc"
,
"exploder_top.vhd"
]
top/gsi_exploder/wr_core_demo/exploder_top.cof
deleted
100644 → 0
View file @
f2a6f970
<?xml version="1.0" encoding="US-ASCII" standalone="yes"?>
<cof>
<eprom_name>
EPCQ256
</eprom_name>
<flash_loader_device>
EP2AGX125
</flash_loader_device>
<output_filename>
expoder_top.jic
</output_filename>
<n_pages>
1
</n_pages>
<width>
1
</width>
<mode>
7
</mode>
<sof_data>
<user_name>
Page_0
</user_name>
<page_flags>
1
</page_flags>
<bit0>
<sof_filename>
exploder_top.sof
</sof_filename>
</bit0>
</sof_data>
<version>
5
</version>
<create_cvp_file>
0
</create_cvp_file>
<options>
<map_file>
1
</map_file>
</options>
</cof>
\ No newline at end of file
top/gsi_exploder/wr_core_demo/exploder_top.sdc
deleted
100644 → 0
View file @
f2a6f970
create_clock -period 125Mhz -name sfp_ref_clk_i [get_ports {sfp_ref_clk_i}]
derive_pll_clocks -create_base_clocks
derive_clock_uncertainty
# Cut the clock domains from each other
set_clock_groups -asynchronous \
-group { altera_reserved_tck } \
-group { clk_20m_vcxo_i dmtd_inst|* } \
-group { clk_125m_local_i sys_inst|* } \
-group { clk_125m_pllref_i ref_inst|* \
wr_gxb*|tx_pll0|* \
wr_gxb*|ch_clk_div0|* \
wr_gxb*|transmit_pma0|* \
wr_gxb*|transmit_pcs0|* } \
-group { sfp_ref_clk_i \
wr_gxb*|rx_cdr_pll0|* \
wr_gxb*|receive_pma0|* \
wr_gxb*|receive_pcs0|* }
top/gsi_exploder/wr_core_demo/exploder_top.vhd
deleted
100644 → 0
View file @
f2a6f970
This diff is collapsed.
Click to expand it.
top/gsi_scu/wr_core_demo/Manifest.py
deleted
100644 → 0
View file @
f2a6f970
fetchto
=
"../../../ip_cores"
modules
=
{
"git"
:
"git://ohwr.org/hdl-core-lib/etherbone-core.git"
};
files
=
[
"scu_top.vhd"
,
"scu_top.sdc"
]
top/gsi_scu/wr_core_demo/scu_top.sdc
deleted
100644 → 0
View file @
f2a6f970
derive_pll_clocks -create_base_clocks
create_clock -period 33Mhz -name LPC_FPGA_CLK [get_ports {LPC_FPGA_CLK}]
create_clock -period 100Mhz -name pcie_refclk_i [get_ports {pcie_refclk_i}]
create_clock -period 125Mhz -name sfp2_ref_clk_i [get_ports {sfp2_ref_clk_i}]
derive_clock_uncertainty
# Cut the clock domains from each other
set_clock_groups -asynchronous \
-group { altera_reserved_tck } \
-group { LPC_FPGA_CLK } \
-group { clk_20m_vcxo_i dmtd_inst|* } \
-group { clk_125m_local_i sys_inst|* } \
-group { clk_125m_pllref_i ref_inst|* \
wr_gxb*|tx_pll0|* \
wr_gxb*|ch_clk_div0|* \
wr_gxb*|transmit_pma0|* \
wr_gxb*|transmit_pcs0|* } \
-group { sfp2_ref_clk_i \
wr_gxb*|rx_cdr_pll0|* \
wr_gxb*|receive_pma0|* \
wr_gxb*|receive_pcs0|* } \
-group { pcie_refclk_i \
PCIe*|tx_pll0|* \
PCIe*|central_clk_div0|* \
PCIe*|pllfixedclk \
PCIe*|coreclkout } \
-group { PCIe*|rx_cdr_pll0|* \
PCIe*|receive_pma0|* } \
-group { PCIe*|rx_cdr_pll1|* \
PCIe*|receive_pma1|* } \
-group { PCIe*|rx_cdr_pll2|* \
PCIe*|receive_pma2|* } \
-group { PCIe*|rx_cdr_pll3|* \
PCIe*|receive_pma3|* }
top/gsi_scu/wr_core_demo/scu_top.vhd
deleted
100644 → 0
View file @
f2a6f970
This diff is collapsed.
Click to expand it.
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment