Commit 58089e57 authored by Maciej Lipinski's avatar Maciej Lipinski Committed by Grzegorz Daniluk

[streamers/review] minimal changes to make testbanches work

- removed unnecessary reference to spec_top in one testbench that
  did not use top
- removed obsolte cfg input signals - use default
parent 4b19ad13
...@@ -9,7 +9,6 @@ vlog_opt="+incdir+../../../sim +incdir" ...@@ -9,7 +9,6 @@ vlog_opt="+incdir+../../../sim +incdir"
modules = { "local" : ["../../..", modules = { "local" : ["../../..",
"../../../modules/wr_streamers", "../../../modules/wr_streamers",
"../../../top/spec_1_1/wr_streamers_demo",
"../../../ip_cores/general-cores"]} "../../../ip_cores/general-cores"]}
files = ["main.sv"] files = ["main.sv"]
......
...@@ -693,6 +693,7 @@ begin ...@@ -693,6 +693,7 @@ begin
tag_valid_o => tx_tag_valid); tag_valid_o => tx_tag_valid);
-- Streamer instantiation. -- Streamer instantiation.
-- default config: accept broadcast and streamers' Ethertype
U_TX_Streamer : xtx_streamer U_TX_Streamer : xtx_streamer
generic map ( generic map (
-- We send each timestamp (40 TAI bits + 28 -- We send each timestamp (40 TAI bits + 28
...@@ -724,12 +725,7 @@ begin ...@@ -724,12 +725,7 @@ begin
tx_dreq_o => tx_dreq, tx_dreq_o => tx_dreq,
-- every data word we send is the last one, as a single transfer in our -- every data word we send is the last one, as a single transfer in our
-- case contains only one 80-bit data word. -- case contains only one 80-bit data word.
tx_last_p1_i => '1', tx_last_p1_i => '1');
-- send broadcast packets, so that many receivers can use triggers sent
-- by us.
cfg_mac_target_i => x"ffffffffffff",
cfg_ethertype_i => c_STREAMER_ETHERTYPE);
-- Pack the time stamp into a 80-bit data word for the streamer -- Pack the time stamp into a 80-bit data word for the streamer
...@@ -758,6 +754,7 @@ begin ...@@ -758,6 +754,7 @@ begin
----------------------------------------------------------------------------- -----------------------------------------------------------------------------
-- Streamer instantiation -- Streamer instantiation
-- default config: accept broadcast and streamers' Ethertype
U_RX_Streamer : xrx_streamer U_RX_Streamer : xrx_streamer
generic map ( generic map (
-- data width must be identical as in the TX streamer - otherwise, we'll be receiving -- data width must be identical as in the TX streamer - otherwise, we'll be receiving
...@@ -780,9 +777,7 @@ begin ...@@ -780,9 +777,7 @@ begin
rx_valid_o => rx_valid, rx_valid_o => rx_valid,
rx_dreq_i => '1', rx_dreq_i => '1',
rx_latency_o => rx_latency, rx_latency_o => rx_latency,
rx_latency_valid_o => rx_latency_valid, rx_latency_valid_o => rx_latency_valid);
cfg_ethertype_i => c_STREAMER_ETHERTYPE,
cfg_accept_broadcasts_i => '1');
-- Add a fixed delay to the reveived trigger timestamp -- Add a fixed delay to the reveived trigger timestamp
U_Add_Delay1 : timestamp_adder U_Add_Delay1 : timestamp_adder
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment