Commit 3354348c authored by CI's avatar CI

Testbench wr_streamers/streamers-only_multiword-transfer use Riviera-Pro now

parent 78833507
Pipeline #3509 canceled with stage
......@@ -6,7 +6,8 @@
#TB_DIRS+=xwrf_loopback
#TB_DIRS+=wr_ep_prbs_checker
#TB_DIRS+=wr_streamers/streamers-only_basic-transfer
TB_DIRS+=wr_streamers/streamers-only-fixed-latency
#TB_DIRS+=wr_streamers/streamers-only-fixed-latency
TB_DIRS+=wr_streamers/streamers-only_multiword-transfer
#TB_DIRS+=xwrf_mux
#TB_DIRS+=wr_streamers/streamers_multi_test
......
action= "simulation"
target= "xilinx"
syn_device="xc6slx45t"
sim_tool="modelsim"
#sim_tool="modelsim"
sim_tool="riviera"
top_module="main"
vcom_opt = "-packagevhdlsv"
fetchto="../../ip_cores"
vlog_opt="+incdir+../../../sim +incdir"
......
make -f Makefile > /dev/null 2>&1
vsim -L unisim work.main -voptargs="+acc" -suppress 8684,8683
vsim -L unisim work.main -voptargs="+acc"
set NumericStdNoWarnings 1
set StdArithNoWarnings 1
do wave.do
run 10us
#do wave.do
run 100us
wave zoomfull
radix -hex
null make -f Makefile > /dev/null 2>&1
vsim -L unisim work.main +access +r
set NumericStdNoWarnings 1
set StdArithNoWarnings 1
#do wave.do
run 1ms
wave zoomfull
radix -hex
onerror {resume}
quietly WaveActivateNextPane {} 0
quiet WaveActivateNextPane {} 0
add wave -noupdate /main/U_TX_Streamer/tx_flush_i
add wave -noupdate /main/U_TX_Streamer/tx_last_i
add wave -noupdate /main/U_TX_Streamer/tx_data_i
......
onerror {resume}
quiet WaveActivateNextPane {} 0
add wave /main/U_TX_Streamer/tx_flush_i
add wave /main/U_TX_Streamer/tx_last_i
add wave /main/U_TX_Streamer/tx_data_i
add wave /main/U_TX_Streamer/tx_reset_seq_i
add wave /main/U_TX_Streamer/tx_valid_i
add wave /main/U_TX_Streamer/tx_dreq_o
add wave /main/mac/adr
add wave /main/mac/dat_o
add wave /main/mac/dat_i
add wave /main/mac/sel
add wave /main/mac/ack
add wave /main/mac/stall
add wave /main/mac/err
add wave /main/mac/rty
add wave /main/mac/cyc
add wave /main/mac/stb
add wave /main/mac/we
add wave /main/U_RX_Streamer/rx_first_o
add wave /main/U_RX_Streamer/rx_last_o
add wave /main/U_RX_Streamer/rx_data_o
add wave /main/U_RX_Streamer/rx_valid_o
add wave /main/U_RX_Streamer/rx_dreq_i
add wave /main/U_RX_Streamer/rx_lost_o
null TreeUpdate [null SetDefaultTree]
wv.cursors.add -time {867 ns} -name {Cursor 1}
null configure wave -namecolwidth 150
null configure wave -valuecolwidth 100
null configure wave -justifyvalue left
null configure wave -signalnamewidth 1
null configure wave -snapdistance 10
null configure wave -datasetprefix 0
null configure wave -rowmargin 4
null configure wave -childrowmargin 2
null configure wave -gridoffset 0
null configure wave -gridperiod 1
null configure wave -griddelta 40
null configure wave -timeline 0
null configure wave -timelineunits ns
update
wv.zoom.range -from {0 ns} -to {915 ns}
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment