Commit 20b61438 authored by Peter Jansweijer's avatar Peter Jansweijer

expose phy_mdio_master interface on xwrc_board_common

parent 93539288
Pipeline #4603 failed with stage
......@@ -149,6 +149,8 @@ package wr_board_pkg is
phy8_i : in t_phy_8bits_to_wrc := c_dummy_phy8_to_wrc;
phy16_o : out t_phy_16bits_from_wrc;
phy16_i : in t_phy_16bits_to_wrc := c_dummy_phy16_to_wrc;
phy_mdio_master_i : in t_wishbone_master_in := (ack => '1', err => '0', rty => '0', stall => '0', dat => (others => '1'));
phy_mdio_master_o : out t_wishbone_master_out;
scl_o : out std_logic;
scl_i : in std_logic := '1';
sda_o : out std_logic;
......
......@@ -129,6 +129,9 @@ entity xwrc_board_common is
phy16_o : out t_phy_16bits_from_wrc;
phy16_i : in t_phy_16bits_to_wrc := c_dummy_phy16_to_wrc;
phy_mdio_master_i : in t_wishbone_master_in := (ack => '1', err => '0', rty => '0', stall => '0', dat => (others => '1'));
phy_mdio_master_o : out t_wishbone_master_out;
---------------------------------------------------------------------------
-- I2C EEPROM
---------------------------------------------------------------------------
......@@ -444,8 +447,8 @@ begin -- architecture struct
phy8_i => phy8_i,
phy16_o => phy16_o,
phy16_i => phy16_i,
phy_mdio_master_i => phy_mdio_master_in,
phy_mdio_master_o => open,
phy_mdio_master_i => phy_mdio_master_i,
phy_mdio_master_o => phy_mdio_master_o,
led_act_o => led_act_o,
led_link_o => led_link_o,
scl_o => scl_o,
......@@ -507,9 +510,6 @@ begin -- architecture struct
tm_tai_o <= tm_tai;
tm_cycles_o <= tm_cycles;
-- Avoid freeze
phy_mdio_master_in <= (ack => '1', err => '0', rty => '0', stall => '0', dat => (others => '1'));
gen_wr_streamers : if (g_fabric_iface = STREAMERS) generate
cmp_xwr_streamers : xwr_streamers
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment