Commit 10e3f032 authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

greg_counters: leave rmon_o from vlan unit open, it doesn't generate any events

parent 3671487b
......@@ -443,7 +443,7 @@ begin -- behavioral
vid_o => vlan_vid,
tag_done_o => vlan_tag_done,
is_tagged_o => vlan_is_tagged,
rmon_o => rmon_o,
rmon_o => open,
regs_i => regs_i,
regs_o => regs_o);
end generate gen_with_vlan_unit;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment