Commit 0cf288a2 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

wr_core: removed references to the 'old' wrc_diags module/package

parent bc012b1e
Pipeline #1858 failed with stage
in 34 seconds
......@@ -43,7 +43,6 @@ library work;
use work.wrcore_pkg.all;
use work.wishbone_pkg.all;
use work.sysc_wbgen2_pkg.all;
use work.wrc_diags_wbgen2_pkg.all;
entity wrc_periph is
generic(
......@@ -142,8 +141,6 @@ architecture struct of wrc_periph is
signal diag_dat : std_logic_vector(31 downto 0);
signal diag_out_regs : t_generic_word_array(g_diag_rw_size - 1 downto 0);
signal diag_in : t_generic_word_array(g_diag_ro_size + g_diag_rw_size-1 downto 0);
signal wrpc_diag_regs_in : t_wrc_diags_in_registers;
signal wrpc_diag_regs_out : t_wrc_diags_out_registers;
begin
......
......@@ -38,7 +38,6 @@ library work;
use work.genram_pkg.all;
use work.wishbone_pkg.all;
use work.sysc_wbgen2_pkg.all;
use work.wrc_diags_wbgen2_pkg.all;
use work.wr_fabric_pkg.all;
use work.endpoint_pkg.all;
use work.softpll_pkg.all;
......@@ -142,22 +141,6 @@ package wrcore_pkg is
-----------------------------------------------------------------------------
-- PERIPHERIALS
-----------------------------------------------------------------------------
component xwr_diags_wb is
generic(
g_interface_mode : t_wishbone_interface_mode := CLASSIC;
g_address_granularity : t_wishbone_address_granularity := WORD
);
port (
rst_n_i : in std_logic;
clk_sys_i : in std_logic;
slave_i : in t_wishbone_slave_in;
slave_o : out t_wishbone_slave_out;
regs_i : in t_wrc_diags_in_registers;
regs_o : out t_wrc_diags_out_registers
);
end component;
constant c_wrc_periph0_sdb : t_sdb_device := (
abi_class => x"0000", -- undocumented device
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment