Commit 0c470a36 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag Committed by Grzegorz Daniluk

small modifications modified signals names in xwrc_board_spec enity and package…

small modifications modified signals names in xwrc_board_spec enity and package to much new WRPC release
parent f7d5aa33
make -f Makefile > /dev/null 2>&1
# make -f Makefile > /dev/null 2>&1
vsim -L unisim -L secureip work.main -voptargs="+acc" -suppress 8684,8683
set NumericStdNoWarnings 1
set StdArithNoWarnings 1
......
......@@ -5,7 +5,8 @@ sim_tool="modelsim"
top_module="main"
fetchto="../../../ip_cores"
vlog_opt="+incdir+../../../sim"
include_dirs=["../../../sim"]
modules = { "local" : ["../../..",
"../../../modules/wr_streamers",
......
......@@ -14,7 +14,7 @@
// in the RX streamer module.
//
`include "../../../sim/if_wb_link.svh"
`include "if_wb_link.svh"
`timescale 1ns/1ns
......@@ -71,9 +71,11 @@ module main;
// transfer queue. Used to pass sent data to the verification process.
logic [g_record_size-1:0] queue[$];
//generate 125 MHz WR Clock
always #4ns clk_ref <= ~clk_ref;
always #4ns clk_ref <= ~clk_ref; //generate 125 MHz WR Clock
// WR clock cycle counter
always@(posedge clk_ref)
tm_cycle_counter <= tm_cycle_counter + 1;
......@@ -86,7 +88,7 @@ module main;
tx_counter <= 0;
end else begin
// TX streamer is fed with a subsequent data word at random intervals (you can
// change the probability in the contition below). New value is sent only when
// change the probability in the condition below). New value is sent only when
// the streamer can accept it (i.e. its tx_dreq_o output is active)
if({$random} % 100 < 50 && tx_streamer_dreq) begin
queue.push_back(tx_counter);
......@@ -113,7 +115,7 @@ module main;
(
.clk_sys_i(clk),
.rst_n_i (rst),
.src_dat_o (mac.dat_i),
.src_adr_o (mac.adr),
.src_sel_o (mac.sel),
......@@ -181,7 +183,7 @@ module main;
rx_streamer_dreq <= 0;
end else begin
// throttle the RX path a little bit
rx_streamer_dreq <= {$random}%100 < 80;
rx_streamer_dreq <= {$random}%100 < 80;
if(rx_streamer_dvalid)
begin
......
make -f Makefile > /dev/null 2>&1
# make -f Makefile > /dev/null 2>&1
vsim -L unisim work.main -voptargs="+acc" -suppress 8684,8683
set NumericStdNoWarnings 1
......
Tue Apr 04 11:10:53 W. Europe Daylight Time 2017
Trace back: invalid command name ""
while executing
"$tree expandeditems -worm"
(procedure "_resetTree" line 6)
invoked from within
"_resetTree $w"
(procedure "QObjects::sort" line 4)
invoked from within
"QObjects::sort .main_pane.objects 0 ascending"
("eval" body line 1)
invoked from within
"eval $itk_option(-sortcommand) $column $dir"
(object "::.main_pane.objects.interior.cs.body.tree" method "::vsimwidgets::Hierarchy::sort" body line 26)
invoked from within
"sort $sortIdx $dir"
(object "::.main_pane.objects.interior.cs.body.tree" method "::vsimwidgets::Hierarchy::_initializeSortColumn" body line 10)
invoked from within
"::.main_pane.objects.interior.cs.body.tree _initializeSortColumn"
(in namespace inscope "::vsimwidgets::Hierarchy" script line 1)
invoked from within
"namespace inscope ::vsimwidgets::Hierarchy {::.main_pane.objects.interior.cs.body.tree _initializeSortColumn}"
("after" script)
<2: ::tkerror {invalid command name ""}
<1: ::bgerror {invalid command name ""}
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment