Commit 3d6f31da authored by Jean-Paul Ricaud's avatar Jean-Paul Ricaud

VHDL : corrected some typo errors

 On branch development

	modified:   fpga/sources/src_linacMON/linacMON_config.txt
	modified:   fpga/sources/src_linacMP/linacMP_config.txt
	modified:   fpga/sources/src_linacSYNCLOCK/linacSYNCLOCK_top.vhdl
parent 7ebba81b
......@@ -41,11 +41,11 @@ Configuration : LINAC monitoring 2 inputs - 3 outputs
SW : 5-4-3-2-1-0
0-0-0-0-1-1
IO0 : input - input SPM signal
IO1 : input - input LPM signal
IO0 : input - SPM signal
IO1 : input - LPM signal
IO2 : output - SPM signal
IO3 : output - LPM signal
IO4 : input - inhibit outputs
IO4 : input - inhibit
Green LED on = OK
Red LED on = simultaneous SPM and LPM triggers detected
......
......@@ -41,10 +41,10 @@ Configuration : LINAC multi pulses 4 inputs - 1 output
SW : 5-4-3-2-1-0
0-0-0-0-1-0
IO0 : input - input signal
IO1 : input - delayed signal
IO2 : input - delayed signal
IO3 : input - delayed signal
IO0 : input - delayed SPM signal
IO1 : input - delayed SPM signal
IO2 : input - delayed SPM signal
IO3 : input - delayed SPM signal
IO4 : output - ored pulses
Green LED on = OK
......
......@@ -14,7 +14,7 @@
-- ==========================XXXXXXXXXXXX====================================
-- :<------------------->:<-------->:<-----------------------------------
-- : t start : t freeze : wait next pre-charge
-- : interlock monitored : freezed e: interlock monitored
-- : interlock monitored : freezede : interlock monitored
--
--
-- LPM
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment