Commit 95355592 authored by Evangelia Gousiou's avatar Evangelia Gousiou

all status errors ORed on r_tler_o pin, as expected on the FMC-nanoFIP v3

parent 47350e02
#Build: Synplify (R) Premier with Design Planner M-2017.03-SP1, Build 2707R, Apr 14 2017
#install: C:\Synopsys\fpga_M-2017.03-SP1
#OS: Windows 8 6.2
#Hostname: PCBE13457
# Tue May 10 11:35:22 2022
#Implementation: syn
Synopsys HDL Compiler, version comp2017q2p1, Build 011R, built Apr 14 2017
@N|Running in 64-bit mode
Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
Synopsys VHDL Compiler, version comp2017q2p1, Build 011R, built Apr 14 2017
@N|Running in 64-bit mode
Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
@N: CD720 :"C:\Synopsys\fpga_M-2017.03-SP1\lib\vhd\std.vhd":123:18:123:21|Setting time resolution to ps
@N:"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\top\nanofip.vhd":212:7:212:13|Top entity is set to nanofip.
VHDL syntax check successful!
@N: CD231 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_package.vhd":325:13:325:14|Using onehot encoding for type t_var. For example, enumeration var_presence is mapped to "100000000".
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\top\nanofip.vhd":212:7:212:13|Synthesizing work.nanofip.struc.
@N: CD231 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_package.vhd":325:13:325:14|Using onehot encoding for type t_var. For example, enumeration var_presence is mapped to "100000000".
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_wb_controller.vhd":55:7:55:22|Synthesizing work.wf_wb_controller.rtl.
Post processing for work.wf_wb_controller.rtl
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_model_constr_decoder.vhd":61:7:61:29|Synthesizing work.wf_model_constr_decoder.rtl.
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_incr_counter.vhd":52:7:52:21|Synthesizing work.wf_incr_counter.rtl.
Post processing for work.wf_incr_counter.rtl
Post processing for work.wf_model_constr_decoder.rtl
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_engine_control.vhd":79:7:79:23|Synthesizing work.wf_engine_control.rtl.
@N: CD231 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_package.vhd":325:13:325:14|Using onehot encoding for type t_var. For example, enumeration var_presence is mapped to "100000000".
@N: CD231 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_engine_control.vhd":170:21:170:22|Using onehot encoding for type control_st_t. For example, enumeration idle is mapped to "1000000000".
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_decr_counter.vhd":52:7:52:21|Synthesizing work.wf_decr_counter.rtl.
Post processing for work.wf_decr_counter.rtl
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_decr_counter.vhd":52:7:52:21|Synthesizing work.wf_decr_counter.rtl.
Post processing for work.wf_decr_counter.rtl
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_incr_counter.vhd":52:7:52:21|Synthesizing work.wf_incr_counter.rtl.
Post processing for work.wf_incr_counter.rtl
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_prod_data_lgth_calc.vhd":80:7:80:28|Synthesizing work.wf_prod_data_lgth_calc.behavior.
@N: CD231 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_package.vhd":325:13:325:14|Using onehot encoding for type t_var. For example, enumeration var_presence is mapped to "100000000".
Post processing for work.wf_prod_data_lgth_calc.behavior
Post processing for work.wf_engine_control.rtl
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_jtag_controller.vhd":70:7:70:24|Synthesizing work.wf_jtag_controller.rtl.
@N: CD231 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_jtag_controller.vhd":106:16:106:17|Using onehot encoding for type jc_st_t. For example, enumeration idle is mapped to "1000".
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_decr_counter.vhd":52:7:52:21|Synthesizing work.wf_decr_counter.rtl.
Post processing for work.wf_decr_counter.rtl
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_incr_counter.vhd":52:7:52:21|Synthesizing work.wf_incr_counter.rtl.
Post processing for work.wf_incr_counter.rtl
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_incr_counter.vhd":52:7:52:21|Synthesizing work.wf_incr_counter.rtl.
Post processing for work.wf_incr_counter.rtl
Post processing for work.wf_jtag_controller.rtl
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_fd_transmitter.vhd":84:7:84:23|Synthesizing work.wf_fd_transmitter.struc.
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_tx_serializer.vhd":67:7:67:22|Synthesizing work.wf_tx_serializer.rtl.
@N: CD231 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_tx_serializer.vhd":112:16:112:17|Using onehot encoding for type tx_st_t. For example, enumeration idle is mapped to "1000000".
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_decr_counter.vhd":52:7:52:21|Synthesizing work.wf_decr_counter.rtl.
Post processing for work.wf_decr_counter.rtl
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_crc.vhd":57:7:57:12|Synthesizing work.wf_crc.rtl.
Post processing for work.wf_crc.rtl
Post processing for work.wf_tx_serializer.rtl
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_tx_osc.vhd":64:7:64:15|Synthesizing work.wf_tx_osc.rtl.
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_incr_counter.vhd":52:7:52:21|Synthesizing work.wf_incr_counter.rtl.
Post processing for work.wf_incr_counter.rtl
Post processing for work.wf_tx_osc.rtl
Post processing for work.wf_fd_transmitter.struc
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_production.vhd":101:7:101:19|Synthesizing work.wf_production.struc.
@N: CD231 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_package.vhd":325:13:325:14|Using onehot encoding for type t_var. For example, enumeration var_presence is mapped to "100000000".
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_status_bytes_gen.vhd":109:7:109:25|Synthesizing work.wf_status_bytes_gen.rtl.
@N: CD231 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_package.vhd":325:13:325:14|Using onehot encoding for type t_var. For example, enumeration var_presence is mapped to "100000000".
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_incr_counter.vhd":52:7:52:21|Synthesizing work.wf_incr_counter.rtl.
Post processing for work.wf_incr_counter.rtl
Post processing for work.wf_status_bytes_gen.rtl
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_prod_bytes_retriever.vhd":101:7:101:29|Synthesizing work.wf_prod_bytes_retriever.rtl.
@N: CD231 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_package.vhd":325:13:325:14|Using onehot encoding for type t_var. For example, enumeration var_presence is mapped to "100000000".
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_dualram_512x8_clka_rd_clkb_wr.vhd":69:7:69:38|Synthesizing work.wf_dualram_512x8_clka_rd_clkb_wr.syn.
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\dualram_512x8.vhd":57:7:57:19|Synthesizing work.dualram_512x8.ram4k9.
@N: CD630 :"C:\Synopsys\fpga_M-2017.03-SP1\lib\proasic\proasic3.vhd":2884:10:2884:15|Synthesizing proasic3.ram4k9.syn_black_box.
Post processing for proasic3.ram4k9.syn_black_box
@N: CD630 :"C:\Synopsys\fpga_M-2017.03-SP1\lib\proasic\proasic3.vhd":1782:10:1782:12|Synthesizing proasic3.gnd.syn_black_box.
Post processing for proasic3.gnd.syn_black_box
@N: CD630 :"C:\Synopsys\fpga_M-2017.03-SP1\lib\proasic\proasic3.vhd":2722:10:2722:12|Synthesizing proasic3.vcc.syn_black_box.
Post processing for proasic3.vcc.syn_black_box
Post processing for work.dualram_512x8.ram4k9
Post processing for work.wf_dualram_512x8_clka_rd_clkb_wr.syn
Post processing for work.wf_prod_bytes_retriever.rtl
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_prod_permit.vhd":55:7:55:20|Synthesizing work.wf_prod_permit.rtl.
@N: CD231 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_package.vhd":325:13:325:14|Using onehot encoding for type t_var. For example, enumeration var_presence is mapped to "100000000".
Post processing for work.wf_prod_permit.rtl
Post processing for work.wf_production.struc
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_fd_receiver.vhd":89:7:89:20|Synthesizing work.wf_fd_receiver.struc.
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_rx_deserializer.vhd":93:7:93:24|Synthesizing work.wf_rx_deserializer.rtl.
@N: CD231 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_rx_deserializer.vhd":146:16:146:17|Using onehot encoding for type rx_st_t. For example, enumeration idle is mapped to "100000".
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_decr_counter.vhd":52:7:52:21|Synthesizing work.wf_decr_counter.rtl.
Post processing for work.wf_decr_counter.rtl
Post processing for work.wf_rx_deserializer.rtl
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_rx_osc.vhd":67:7:67:15|Synthesizing work.wf_rx_osc.rtl.
Post processing for work.wf_rx_osc.rtl
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_rx_deglitcher.vhd":55:7:55:22|Synthesizing work.wf_rx_deglitcher.rtl.
Post processing for work.wf_rx_deglitcher.rtl
Post processing for work.wf_fd_receiver.struc
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_consumption.vhd":93:7:93:20|Synthesizing work.wf_consumption.struc.
@N: CD231 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_package.vhd":325:13:325:14|Using onehot encoding for type t_var. For example, enumeration var_presence is mapped to "100000000".
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_cons_outcome.vhd":82:7:82:21|Synthesizing work.wf_cons_outcome.rtl.
@N: CD231 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_package.vhd":325:13:325:14|Using onehot encoding for type t_var. For example, enumeration var_presence is mapped to "100000000".
Post processing for work.wf_cons_outcome.rtl
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_cons_bytes_processor.vhd":89:7:89:29|Synthesizing work.wf_cons_bytes_processor.rtl.
@N: CD231 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_package.vhd":325:13:325:14|Using onehot encoding for type t_var. For example, enumeration var_presence is mapped to "100000000".
Post processing for work.wf_cons_bytes_processor.rtl
Post processing for work.wf_consumption.struc
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_reset_unit.vhd":136:7:136:19|Synthesizing work.wf_reset_unit.rtl.
@N: CD231 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_reset_unit.vhd":184:18:184:19|Using onehot encoding for type rstin_st_t. For example, enumeration idle is mapped to "10000".
@N: CD231 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_reset_unit.vhd":194:20:194:21|Using onehot encoding for type var_rst_st_t. For example, enumeration var_rst_idle is mapped to "100000".
@N: CD630 :"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\..\src\wf_incr_counter.vhd":52:7:52:21|Synthesizing work.wf_incr_counter.rtl.
Post processing for work.wf_incr_counter.rtl
Post processing for work.wf_reset_unit.rtl
Post processing for work.nanofip.struc
At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 76MB peak: 77MB)
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
Process completed successfully.
# Tue May 10 11:35:23 2022
###########################################################]
Synopsys Netlist Linker, version comp2017q2p1, Build 011R, built Apr 14 2017
@N|Running in 64-bit mode
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 69MB)
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
Process completed successfully.
# Tue May 10 11:35:23 2022
###########################################################]
Finished Containment srs generation. (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 4MB peak: 4MB)
Divided design in to 4 groups
@L:"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\synwork\\distcomp\distcomp3\distcomp3.log" "Log file for distribution node work.nanofip.struc "
Compiling work_nanofip_struc as a separate process
@L:"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\synwork\\distcomp\distcomp1\distcomp1.log" "Log file for distribution node work.wf_production.struc "
Compiling work_wf_production_struc as a separate process
@L:"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\synwork\\distcomp\distcomp2\distcomp2.log" "Log file for distribution node work.wf_fd_receiver.struc "
Compiling work_wf_fd_receiver_struc as a separate process
@L:"C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\synwork\\distcomp\distcomp0\distcomp0.log" "Log file for distribution node work.wf_fd_transmitter.struc "
Compiling work_wf_fd_transmitter_struc as a separate process
Compilation of node work.wf_fd_transmitter.struc finished successfully.Real start time 0h:00m:00s, Real end time = 0h:00m:00s, Total real run time = 0h:00m:00s
Compilation of node work.wf_fd_receiver.struc finished successfully.Real start time 0h:00m:00s, Real end time = 0h:00m:00s, Total real run time = 0h:00m:00s
Compilation of node work.wf_production.struc finished successfully.Real start time 0h:00m:00s, Real end time = 0h:00m:01s, Total real run time = 0h:00m:00s
Compilation of node work.nanofip.struc finished successfully.Real start time 0h:00m:00s, Real end time = 0h:00m:01s, Total real run time = 0h:00m:00s
Distributed Compiler Report
***************************
DP Name Status Start time End Time Total Real Time Log File
---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
work.wf_fd_transmitter.struc Success 0h:00m:00s 0h:00m:00s 0h:00m:00s C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\synwork\\distcomp\distcomp0\distcomp0.log
work.wf_fd_receiver.struc Success 0h:00m:00s 0h:00m:00s 0h:00m:00s C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\synwork\\distcomp\distcomp2\distcomp2.log
work.wf_production.struc Success 0h:00m:00s 0h:00m:01s 0h:00m:00s C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\synwork\\distcomp\distcomp1\distcomp1.log
work.nanofip.struc Success 0h:00m:00s 0h:00m:01s 0h:00m:00s C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\synwork\\distcomp\distcomp3\distcomp3.log
=======================================================================================================================================================================================
Synopsys Netlist Linker, version comp2017q2p1, Build 011R, built Apr 14 2017
@N|Running in 64-bit mode
=======================================================================================
For a summary of linker messages for components that did not bind, please see log file:
@L: C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\synwork\nanofip_comp.linkerlog
=======================================================================================
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 69MB peak: 70MB)
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
Process completed successfully.
# Tue May 10 11:35:24 2022
###########################################################]
@END
At c_hdl Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 5MB peak: 6MB)
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
Process completed successfully.
# Tue May 10 11:35:24 2022
###########################################################]
Synopsys Netlist Linker, version comp2017q2p1, Build 011R, built Apr 14 2017
@N|Running in 64-bit mode
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 70MB peak: 71MB)
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
Process completed successfully.
# Tue May 10 11:35:25 2022
###########################################################]
Premap Report
# Tue May 10 11:35:25 2022
Synopsys Microsemi Technology Pre-mapping, Version maprc, Build 3893R, Built Apr 14 2017 09:54:33
Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
Product Version M-2017.03-SP1
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 99MB)
Reading constraint file: C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\synplify_constraints.sdc
Adding property syn_radhardlevel, value "tmr" to view:work.nanofip(struc)
@L: C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\nanofip_scck.rpt
Printing clock summary report in "C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\nanofip_scck.rpt" file
@N: MF248 |Running in 64-bit mode.
@N: MF667 |Clock conversion disabled. (Command "set_option -fix_gated_and_generated_clocks 0" in the project file.)
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 102MB peak: 105MB)
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 102MB peak: 105MB)
Adding property syn_encoding, value "safe, onehot", to instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.rx_st[0:5]
Adding property syn_encoding, value "safe, onehot", to instance FIELDRIVE_Transmitter.tx_serializer.tx_st[0:6]
Adding property syn_encoding, value "safe, onehot", to instance engine_control.control_st[0:9]
Adding property syn_encoding, value "safe, onehot", to instance JTAG_controller.jc_st[0:3]
Adding property syn_encoding, value "safe, onehot", to instance reset_unit.rstin_st[0:4]
Adding property syn_encoding, value "safe, onehot", to instance reset_unit.var_rst_st[0:5]
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB)
Clock Summary
******************
Start Requested Requested Clock Clock Clock
Level Clock Frequency Period Type Group Load
-------------------------------------------------------------------------------------------
0 - uclk_i 40.0 MHz 25.000 declared default_clkgroup__1 539
0 - wclk_i 40.0 MHz 25.000 declared default_clkgroup__2 20
===========================================================================================
Finished Pre Mapping Phase.
@N: BN225 |Writing default property annotation file C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\nanofip.sap.
Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB)
Encoding state machine var_rst_st[0:5] (in view: work.wf_reset_unit(rtl))
original code -> new code
000001 -> 000001
000010 -> 000010
000100 -> 000100
001000 -> 001000
010000 -> 010000
100000 -> 100000
@N: MO195 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_reset_unit.vhd":475:6:475:7|Using syn_encoding = safe, FSM error recovery to reset state is enabled for var_rst_st[0:5].
Encoding state machine rstin_st[0:4] (in view: work.wf_reset_unit(rtl))
original code -> new code
00001 -> 00001
00010 -> 00010
00100 -> 00100
01000 -> 01000
10000 -> 10000
@N: MO195 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_reset_unit.vhd":290:6:290:7|Using syn_encoding = safe, FSM error recovery to reset state is enabled for rstin_st[0:4].
Encoding state machine jc_st[0:3] (in view: work.wf_jtag_controller(rtl))
original code -> new code
0001 -> 0001
0010 -> 0010
0100 -> 0100
1000 -> 1000
@N: MO195 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_jtag_controller.vhd":158:6:158:7|Using syn_encoding = safe, FSM error recovery to reset state is enabled for jc_st[0:3].
Encoding state machine control_st[0:9] (in view: work.wf_engine_control(rtl))
original code -> new code
0000000001 -> 0000000001
0000000010 -> 0000000010
0000000100 -> 0000000100
0000001000 -> 0000001000
0000010000 -> 0000010000
0000100000 -> 0000100000
0001000000 -> 0001000000
0010000000 -> 0010000000
0100000000 -> 0100000000
1000000000 -> 1000000000
@N: MO195 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_engine_control.vhd":244:4:244:5|Using syn_encoding = safe, FSM error recovery to reset state is enabled for control_st[0:9].
Encoding state machine tx_st[0:6] (in view: work.wf_tx_serializer(rtl))
original code -> new code
0000001 -> 0000001
0000010 -> 0000010
0000100 -> 0000100
0001000 -> 0001000
0010000 -> 0010000
0100000 -> 0100000
1000000 -> 1000000
@N: MO195 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_tx_serializer.vhd":194:4:194:5|Using syn_encoding = safe, FSM error recovery to reset state is enabled for tx_st[0:6].
Encoding state machine rx_st[0:5] (in view: work.wf_rx_deserializer(rtl))
original code -> new code
000001 -> 000001
000010 -> 000010
000100 -> 000100
001000 -> 001000
010000 -> 010000
100000 -> 100000
@N: MO195 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_rx_deserializer.vhd":190:6:190:7|Using syn_encoding = safe, FSM error recovery to reset state is enabled for rx_st[0:5].
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 114MB)
@N: BN362 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_engine_control.vhd":736:4:736:5|Removing sequential instance s_var[0] (in view: work.wf_engine_control(rtl)) of type view:PrimLib.sdffse(prim) because it does not drive other instances.
@N: BN362 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_engine_control.vhd":736:4:736:5|Removing sequential instance s_var_aux[0] (in view: work.wf_engine_control(rtl)) of type view:PrimLib.dffe(prim) because it does not drive other instances.
@N: BN362 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_cons_bytes_processor.vhd":475:4:475:5|Removing sequential instance cons_ctrl_byte_o[2] (in view: work.wf_cons_bytes_processor(rtl)) of type view:PrimLib.sdffre(prim) because it does not drive other instances.
@N: BN362 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_cons_bytes_processor.vhd":475:4:475:5|Removing sequential instance cons_ctrl_byte_o[6] (in view: work.wf_cons_bytes_processor(rtl)) of type view:PrimLib.sdffre(prim) because it does not drive other instances.
@N: BN362 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_cons_bytes_processor.vhd":475:4:475:5|Removing sequential instance cons_ctrl_byte_o[7] (in view: work.wf_cons_bytes_processor(rtl)) of type view:PrimLib.sdffre(prim) because it does not drive other instances.
None
None
@W: MF511 |Found issues with constraints. Please check constraint checker report "C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\nanofip_cck.rpt" .
Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB)
Pre-mapping successful!
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 29MB peak: 115MB)
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
# Tue May 10 11:35:26 2022
###########################################################]
Map & Optimize Report
# Tue May 10 11:35:26 2022
Synopsys Microsemi Technology Mapper, Version maprc, Build 3893R, Built Apr 14 2017 09:54:33
Copyright (C) 1994-2017 Synopsys, Inc. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, modification, or distribution of the Synopsys software or the associated documentation is strictly prohibited.
Product Version M-2017.03-SP1
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 99MB)
@N: MF248 |Running in 64-bit mode.
@N: MF667 |Clock conversion disabled. (Command "set_option -fix_gated_and_generated_clocks 0" in the project file.)
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 100MB)
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 100MB)
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 109MB peak: 110MB)
Available hyper_sources - for debug and ip models
None Found
Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 109MB peak: 111MB)
Encoding state machine var_rst_st[0:5] (in view: work.wf_reset_unit(rtl))
original code -> new code
000001 -> 000001
000010 -> 000010
000100 -> 000100
001000 -> 001000
010000 -> 010000
100000 -> 100000
@N: MO195 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_reset_unit.vhd":475:6:475:7|Using syn_encoding = safe, FSM error recovery to reset state is enabled for var_rst_st[0:5].
Encoding state machine rstin_st[0:4] (in view: work.wf_reset_unit(rtl))
original code -> new code
00001 -> 00001
00010 -> 00010
00100 -> 00100
01000 -> 01000
10000 -> 10000
@N: MO195 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_reset_unit.vhd":290:6:290:7|Using syn_encoding = safe, FSM error recovery to reset state is enabled for rstin_st[0:4].
@N: MO231 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_incr_counter.vhd":92:4:92:5|Found counter in view:work.wf_incr_counter_12_1(rtl) instance s_counter[11:0]
@N: MO231 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_incr_counter.vhd":92:4:92:5|Found counter in view:work.wf_incr_counter_12_0(rtl) instance s_counter[11:0]
@N: MF176 |Default generator successful
@N: MF179 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_cons_bytes_processor.vhd":309:21:309:79|Found 8 by 8 bit less-than operator ('<') Bytes_Processing\.un20_slone_i (in view: work.wf_cons_bytes_processor(rtl))
@N: MF238 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_cons_bytes_processor.vhd":309:47:309:79|Found 7-bit incrementor, 'un17_slone_i[1:7]'
@N: BN362 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_cons_bytes_processor.vhd":475:4:475:5|Removing sequential instance cons_ctrl_byte_o[7] (in view: work.wf_cons_bytes_processor(rtl)) of type view:PrimLib.dff(prim) because it does not drive other instances.
@N: BN362 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_cons_bytes_processor.vhd":475:4:475:5|Removing sequential instance cons_ctrl_byte_o[6] (in view: work.wf_cons_bytes_processor(rtl)) of type view:PrimLib.dff(prim) because it does not drive other instances.
@N: BN362 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_cons_bytes_processor.vhd":475:4:475:5|Removing sequential instance cons_ctrl_byte_o[2] (in view: work.wf_cons_bytes_processor(rtl)) of type view:PrimLib.dff(prim) because it does not drive other instances.
@N: MO231 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_jtag_controller.vhd":377:4:377:5|Found counter in view:work.wf_jtag_controller(rtl) instance s_bits_so_far[15:1]
Encoding state machine jc_st[0:3] (in view: work.wf_jtag_controller(rtl))
original code -> new code
0001 -> 0001
0010 -> 0010
0100 -> 0100
1000 -> 1000
@N: MO195 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_jtag_controller.vhd":158:6:158:7|Using syn_encoding = safe, FSM error recovery to reset state is enabled for jc_st[0:3].
@N: MF179 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_jtag_controller.vhd":205:30:205:57|Found 16 by 16 bit less-than operator ('<') JC_FSM_Comb_State_Transitions\.un1_s_bits_so_far (in view: work.wf_jtag_controller(rtl))
@N: MF238 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_jtag_controller.vhd":362:51:362:63|Found 6-bit incrementor, 'un1_jc_mem_adr_rd_o[6:1]'
@N: MO231 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_incr_counter.vhd":92:4:92:5|Found counter in view:work.wf_incr_counter_5(rtl) instance s_counter[4:0]
@N: MO231 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_incr_counter.vhd":92:4:92:5|Found counter in view:work.wf_incr_counter_7(rtl) instance s_counter[6:0]
@N: MO231 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_decr_counter.vhd":95:4:95:5|Found counter in view:work.wf_decr_counter_13(rtl) instance s_counter[12:0]
Encoding state machine control_st[0:9] (in view: work.wf_engine_control(rtl))
original code -> new code
0000000001 -> 0000000001
0000000010 -> 0000000010
0000000100 -> 0000000100
0000001000 -> 0000001000
0000010000 -> 0000010000
0000100000 -> 0000100000
0001000000 -> 0001000000
0010000000 -> 0010000000
0100000000 -> 0100000000
1000000000 -> 1000000000
@N: MO195 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_engine_control.vhd":244:4:244:5|Using syn_encoding = safe, FSM error recovery to reset state is enabled for control_st[0:9].
@N: BN362 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_engine_control.vhd":736:4:736:5|Removing sequential instance s_var_aux[0] (in view: work.wf_engine_control(rtl)) of type view:PrimLib.dff(prim) because it does not drive other instances.
@N: BN362 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_engine_control.vhd":736:4:736:5|Removing sequential instance s_var[0] (in view: work.wf_engine_control(rtl)) of type view:PrimLib.dff(prim) because it does not drive other instances.
@N: MF176 |Default generator successful
@N: MO231 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_incr_counter.vhd":92:4:92:5|Found counter in view:work.wf_incr_counter_8_1(rtl) instance s_counter[7:0]
@N: MO231 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_incr_counter.vhd":92:4:92:5|Found counter in view:work.wf_incr_counter_8_0(rtl) instance s_counter[7:0]
@N: MO231 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_decr_counter.vhd":95:4:95:5|Found counter in view:work.wf_decr_counter_21_1(rtl) instance s_counter[20:0]
@N: MF239 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_decr_counter.vhd":105:23:105:35|Found 18-bit decrementor, 'un1_s_counter_1[18:1]'
@N: MF176 |Default generator successful
@N: MF179 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_tx_osc.vhd":152:32:152:62|Found 11 by 11 bit less-than operator ('<') un14_s_tx_clk (in view: work.wf_tx_osc(rtl))
@N: MF179 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_tx_osc.vhd":153:34:153:70|Found 11 by 11 bit less-than operator ('<') un12_s_tx_clk (in view: work.wf_tx_osc(rtl))
@N: MF179 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_tx_osc.vhd":154:34:154:66|Found 11 by 11 bit less-than operator ('<') un4_s_tx_clk (in view: work.wf_tx_osc(rtl))
@N: MO231 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_incr_counter.vhd":92:4:92:5|Found counter in view:work.wf_incr_counter_0_0(rtl) instance s_counter[10:0]
Encoding state machine tx_st[0:6] (in view: work.wf_tx_serializer(rtl))
original code -> new code
0000001 -> 0000001
0000010 -> 0000010
0000100 -> 0000100
0001000 -> 0001000
0010000 -> 0010000
0100000 -> 0100000
1000000 -> 1000000
@N: MO195 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_tx_serializer.vhd":194:4:194:5|Using syn_encoding = safe, FSM error recovery to reset state is enabled for tx_st[0:6].
@N: MO106 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_tx_serializer.vhd":511:21:511:61|Found ROM .delname. (in view: work.wf_tx_serializer(rtl)) with 16 words by 1 bit.
@N: MO106 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_tx_serializer.vhd":502:21:502:52|Found ROM .delname. (in view: work.wf_tx_serializer(rtl)) with 32 words by 1 bit.
@N: MO231 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_decr_counter.vhd":95:4:95:5|Found counter in view:work.wf_decr_counter_21_0(rtl_alt0) instance s_counter[20:0]
@N: MO106 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_prod_bytes_retriever.vhd":319:34:319:91|Found ROM .delname. (in view: work.wf_prod_bytes_retriever(rtl)) with 16 words by 1 bit.
@N: MO106 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_prod_bytes_retriever.vhd":291:34:291:97|Found ROM .delname. (in view: work.wf_prod_bytes_retriever(rtl)) with 16 words by 8 bits.
@N: MO106 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_prod_bytes_retriever.vhd":271:34:271:98|Found ROM .delname. (in view: work.wf_prod_bytes_retriever(rtl)) with 16 words by 1 bit.
@N: MO106 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_prod_bytes_retriever.vhd":271:34:271:98|Found ROM .delname. (in view: work.wf_prod_bytes_retriever(rtl)) with 16 words by 2 bits.
@N: MO106 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_prod_bytes_retriever.vhd":271:34:271:98|Found ROM .delname. (in view: work.wf_prod_bytes_retriever(rtl)) with 16 words by 3 bits.
@N: MF239 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_prod_bytes_retriever.vhd":332:15:332:66|Found 8-bit decrementor, 'Bytes_Generation\.un14_slone_i_a_4[7:0]'
@N: MF239 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_prod_bytes_retriever.vhd":481:42:481:76|Found 9-bit decrementor, 's_mem_addr_A[8:0]'
@N: MF239 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_prod_bytes_retriever.vhd":496:42:496:48|Found 7-bit decrementor, 's_lgth_byte[7:1]'
@N: MO231 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_incr_counter.vhd":92:4:92:5|Found counter in view:work.wf_incr_counter(rtl_alt1) instance s_counter[3:0]
@N: MO230 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_rx_deglitcher.vhd":126:4:126:5|Found up-down counter in view:work.wf_rx_deglitcher(rtl) instance s_filt_c[3:0]
@N: MF179 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_rx_osc.vhd":177:40:177:60|Found 11 by 11 bit less-than operator ('<') s_signif_edge_window (in view: work.wf_rx_osc(rtl))
@N: MF179 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_rx_osc.vhd":182:40:182:75|Found 11 by 11 bit less-than operator ('<') un2_s_adjac_bits_window (in view: work.wf_rx_osc(rtl))
@N: MF179 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_rx_osc.vhd":181:40:181:77|Found 11 by 11 bit less-than operator ('<') un9_s_adjac_bits_window (in view: work.wf_rx_osc(rtl))
@N: MF176 |Default generator successful
@N: MF179 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_rx_osc.vhd":178:40:178:76|Found 11 by 11 bit less-than operator ('<') un10_s_signif_edge_window (in view: work.wf_rx_osc(rtl))
@N: MO231 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_incr_counter.vhd":92:4:92:5|Found counter in view:work.wf_incr_counter_0_1(rtl) instance s_counter[10:0]
Encoding state machine rx_st[0:5] (in view: work.wf_rx_deserializer(rtl))
original code -> new code
000001 -> 000001
000010 -> 000010
000100 -> 000100
001000 -> 001000
010000 -> 010000
100000 -> 100000
@N: MO195 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_rx_deserializer.vhd":190:6:190:7|Using syn_encoding = safe, FSM error recovery to reset state is enabled for rx_st[0:5].
@N: MO231 :"c:\nanofip\fmc_nanofip_v3\nanofip-gateware\syn\..\src\wf_decr_counter.vhd":95:4:95:5|Found counter in view:work.wf_decr_counter_21(rtl_alt2) instance s_counter[20:0]
Starting factoring (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:03s; Memory used current: 116MB peak: 117MB)
Finished factoring (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 125MB peak: 126MB)
Starting gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 121MB peak: 126MB)
Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 121MB peak: 126MB)
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:04s; Memory used current: 126MB peak: 133MB)
Starting Early Timing Optimization (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:04s; Memory used current: 127MB peak: 133MB)
Finished Early Timing Optimization (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:04s; Memory used current: 127MB peak: 133MB)
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:04s; Memory used current: 126MB peak: 133MB)
Finished preparing to map (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:05s; Memory used current: 125MB peak: 133MB)
Finished technology mapping (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:05s; Memory used current: 134MB peak: 138MB)
High Fanout Net Report
**********************
Driver Instance / Pin Name Fanout, notes
--------------------------------------------------------------------------------------------------------------------------
reset_unit.RSTON_Buffering.un5_s_rstin_nfip / Y 287 : 3 asynchronous set/reset
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[0] / Y 22
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[1] / Y 18
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[2] / Y 19
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[3] / Y 19
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[4] / Y 19
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[5] / Y 20
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[6] / Y 19
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[7] / Y 18
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_ready_p_d1_maj / Y 11
engine_control.s_var_maj[4] / Y 11
engine_control.Rx_Bytes_Counter.s_counter_maj[0] / Y 11
engine_control.Rx_Bytes_Counter.s_counter_maj[1] / Y 11
engine_control.Rx_Bytes_Counter.s_counter_maj[2] / Y 14
engine_control.Rx_Bytes_Counter.s_counter_maj[3] / Y 11
engine_control.Rx_Bytes_Counter.s_counter_maj[7] / Y 15
engine_control.Produced_Data_Length_Calculator.prod_data_lgth_o_maj[1] / Y 11
engine_control.Prod_Bytes_Counter.s_counter_maj[3] / Y 11
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deglitcher.s_fd_rxd_filt_maj / Y 13
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.rx_st_maj[1] / Y 24
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.rx_st_maj[0] / Y 23
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[1] / Y 13
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[2] / Y 11
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[3] / Y 13
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[4] / Y 16
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[5] / Y 17
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[6] / Y 12
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[7] / Y 14
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[8] / Y 14
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[9] / Y 13
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[10] / Y 13
FIELDRIVE_Transmitter.tx_oscillator.un2_s_period_0_0_o2 / Y 73
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.un2_s_period_1_0 / Y 38
Production.production_bytes_retriever.s_byte_index_d1_maj[0] / Y 11
Production.production_bytes_retriever.s_byte_index_d1_maj[2] / Y 12
Production.production_bytes_retriever.s_byte_index_d1_maj[3] / Y 16
Production.production_bytes_retriever.s_byte_index_d1_maj[1] / Y 12
FIELDRIVE_Transmitter.tx_serializer.Outgoing_Bits_Index.s_counter_maj[2] / Y 15
FIELDRIVE_Transmitter.tx_serializer.Outgoing_Bits_Index.s_counter_maj[3] / Y 11
FIELDRIVE_Transmitter.tx_serializer.Outgoing_Bits_Index.s_counter_maj[4] / Y 12
FIELDRIVE_Transmitter.tx_serializer.s_bit_index_decr_p_i_0 / Y 12
FIELDRIVE_Transmitter.tx_oscillator.tx_periods_count.s_counter_maj[4] / Y 11
FIELDRIVE_Transmitter.tx_oscillator.tx_periods_count.s_counter_maj[5] / Y 11
FIELDRIVE_Transmitter.tx_oscillator.tx_periods_count.s_counter_maj[7] / Y 12
FIELDRIVE_Transmitter.tx_oscillator.tx_periods_count.s_counter_maj[8] / Y 11
FIELDRIVE_Transmitter.tx_oscillator.tx_periods_count.s_counter_maj[9] / Y 11
engine_control.control_st_maj[0] / Y 16
JTAG_controller.jc_st_maj[3] / Y 31
JTAG_controller.jc_st_maj[1] / Y 11
reset_unit.var_rst_st_maj[5] / Y 21
rate_i_pad[1] / Y 28
rstpon_i_pad / Y 12 : 12 asynchronous set/reset
slone_i_pad / Y 23
Production.production_VAR3_RDY_generation.var3_rdy_o_maj / Y 19
FIELDRIVE_Transmitter.tx_oscillator.s_period_c_reinit_0 / Y 11
FIELDRIVE_Transmitter.tx_oscillator.un1_s_period_c_reinit / Y 13
FIELDRIVE_Transmitter.tx_serializer.Session_Timeout_Counter.un1_s_counter12 / Y 21
FIELDRIVE_Transmitter.tx_serializer.s_data_bit_to_crc_p_i / Y 16
FIELDRIVE_Transmitter.tx_serializer.tx_st_ns_0_a2_0[3] / Y 17
engine_control.s_time_c_load_0_a2_0_a2 / Y 18
Consumption.Consumption_Outcome.Frame_Validation.un8_var_i / Y 16
engine_control.ID_DAT_var.un5_s_id_dat_var_byte_0_o3_0_o2 / Y 11
reset_unit.s_rstin_c_reinit_0_i_a2 / Y 12
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_manch_clk_p_o / Y 22
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_write_bit_to_byte_p / Y 25
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.s_period_c_reinit / Y 11
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.s_period_c_reinit_1 / Y 22
JTAG_controller.m39 / Y 15
model_constr_decoder.Model_Constructor_Decoder.un1_s_counter / Y 16
engine_control.Session_Timeout_Counter.un1_s_counter12 / Y 21
JTAG_controller.Session_Timeout_Counter.un1_s_counter12 / Y 13
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[7] / Y 18
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[6] / Y 19
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[5] / Y 20
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[4] / Y 19
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[3] / Y 19
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[2] / Y 19
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[1] / Y 18
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[0] / Y 22
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.rx_st_maj[0] / Y 23
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.rx_st_maj[1] / Y 24
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_ready_p_d1_maj / Y 11
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[10] / Y 13
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[9] / Y 13
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[8] / Y 14
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[7] / Y 14
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[6] / Y 12
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[5] / Y 17
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[4] / Y 16
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[3] / Y 13
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[2] / Y 11
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[1] / Y 13
FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deglitcher.s_fd_rxd_filt_maj / Y 13
Production.production_VAR3_RDY_generation.var3_rdy_o_maj / Y 19
Production.production_bytes_retriever.s_byte_index_d1_maj[3] / Y 16
Production.production_bytes_retriever.s_byte_index_d1_maj[2] / Y 12
Production.production_bytes_retriever.s_byte_index_d1_maj[1] / Y 12
Production.production_bytes_retriever.s_byte_index_d1_maj[0] / Y 11
FIELDRIVE_Transmitter.tx_serializer.Outgoing_Bits_Index.s_counter_maj[4] / Y 12
FIELDRIVE_Transmitter.tx_serializer.Outgoing_Bits_Index.s_counter_maj[3] / Y 11
FIELDRIVE_Transmitter.tx_serializer.Outgoing_Bits_Index.s_counter_maj[2] / Y 15
FIELDRIVE_Transmitter.tx_oscillator.tx_periods_count.s_counter_maj[9] / Y 11
FIELDRIVE_Transmitter.tx_oscillator.tx_periods_count.s_counter_maj[8] / Y 11
FIELDRIVE_Transmitter.tx_oscillator.tx_periods_count.s_counter_maj[7] / Y 12
FIELDRIVE_Transmitter.tx_oscillator.tx_periods_count.s_counter_maj[5] / Y 11
FIELDRIVE_Transmitter.tx_oscillator.tx_periods_count.s_counter_maj[4] / Y 11
engine_control.s_var_maj[4] / Y 11
engine_control.control_st_maj[0] / Y 16
engine_control.Rx_Bytes_Counter.s_counter_maj[7] / Y 15
engine_control.Rx_Bytes_Counter.s_counter_maj[3] / Y 11
engine_control.Rx_Bytes_Counter.s_counter_maj[2] / Y 14
engine_control.Rx_Bytes_Counter.s_counter_maj[1] / Y 11
engine_control.Rx_Bytes_Counter.s_counter_maj[0] / Y 11
engine_control.Prod_Bytes_Counter.s_counter_maj[3] / Y 11
engine_control.Produced_Data_Length_Calculator.prod_data_lgth_o_maj[1] / Y 11
JTAG_controller.jc_st_maj[1] / Y 11
JTAG_controller.jc_st_maj[3] / Y 31
reset_unit.var_rst_st_maj[5] / Y 21
==========================================================================================================================
@N: FP130 |Promoting Net uclk_i_c on CLKBUF uclk_i_pad
@N: FP130 |Promoting Net s_nfip_intern_rst on CLKINT I_125
@N: FP130 |Promoting Net FIELDRIVE_Transmitter.tx_oscillator.N_14 on CLKINT I_126
@N: FP130 |Promoting Net wclk_i_c on CLKBUF wclk_i_pad
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:05s; Memory used current: 134MB peak: 138MB)
Replicating Combinational Instance reset_unit.var_rst_st_maj[5], fanout 21 segments 3
Replicating Combinational Instance JTAG_controller.jc_st_maj[3], fanout 31 segments 4
Replicating Combinational Instance JTAG_controller.jc_st_maj[1], fanout 11 segments 2
Replicating Combinational Instance engine_control.Produced_Data_Length_Calculator.prod_data_lgth_o_maj[1], fanout 11 segments 2
Replicating Combinational Instance engine_control.Prod_Bytes_Counter.s_counter_maj[3], fanout 11 segments 2
Replicating Combinational Instance engine_control.Rx_Bytes_Counter.s_counter_maj[0], fanout 11 segments 2
Replicating Combinational Instance engine_control.Rx_Bytes_Counter.s_counter_maj[1], fanout 11 segments 2
Replicating Combinational Instance engine_control.Rx_Bytes_Counter.s_counter_maj[2], fanout 14 segments 2
Replicating Combinational Instance engine_control.Rx_Bytes_Counter.s_counter_maj[3], fanout 11 segments 2
Replicating Combinational Instance engine_control.Rx_Bytes_Counter.s_counter_maj[7], fanout 15 segments 2
Replicating Combinational Instance engine_control.control_st_maj[0], fanout 16 segments 2
Replicating Combinational Instance engine_control.s_var_maj[4], fanout 11 segments 2
Replicating Combinational Instance FIELDRIVE_Transmitter.tx_oscillator.tx_periods_count.s_counter_maj[4], fanout 11 segments 2
Replicating Combinational Instance FIELDRIVE_Transmitter.tx_oscillator.tx_periods_count.s_counter_maj[5], fanout 11 segments 2
Replicating Combinational Instance FIELDRIVE_Transmitter.tx_oscillator.tx_periods_count.s_counter_maj[7], fanout 12 segments 2
Replicating Combinational Instance FIELDRIVE_Transmitter.tx_oscillator.tx_periods_count.s_counter_maj[8], fanout 11 segments 2
Replicating Combinational Instance FIELDRIVE_Transmitter.tx_oscillator.tx_periods_count.s_counter_maj[9], fanout 11 segments 2
Replicating Combinational Instance FIELDRIVE_Transmitter.tx_serializer.Outgoing_Bits_Index.s_counter_maj[2], fanout 15 segments 2
Replicating Combinational Instance FIELDRIVE_Transmitter.tx_serializer.Outgoing_Bits_Index.s_counter_maj[3], fanout 11 segments 2
Replicating Combinational Instance FIELDRIVE_Transmitter.tx_serializer.Outgoing_Bits_Index.s_counter_maj[4], fanout 12 segments 2
Replicating Combinational Instance Production.production_bytes_retriever.s_byte_index_d1_maj[0], fanout 11 segments 2
Replicating Combinational Instance Production.production_bytes_retriever.s_byte_index_d1_maj[1], fanout 12 segments 2
Replicating Combinational Instance Production.production_bytes_retriever.s_byte_index_d1_maj[2], fanout 12 segments 2
Replicating Combinational Instance Production.production_bytes_retriever.s_byte_index_d1_maj[3], fanout 16 segments 2
Replicating Combinational Instance Production.production_VAR3_RDY_generation.var3_rdy_o_maj, fanout 19 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deglitcher.s_fd_rxd_filt_maj, fanout 13 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[1], fanout 13 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[2], fanout 11 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[3], fanout 13 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[4], fanout 16 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[5], fanout 17 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[6], fanout 12 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[7], fanout 14 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[8], fanout 14 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[9], fanout 13 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_periods_count.s_counter_maj[10], fanout 13 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_ready_p_d1_maj, fanout 11 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.rx_st_maj[1], fanout 24 segments 3
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.rx_st_maj[0], fanout 23 segments 3
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[0], fanout 22 segments 3
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[1], fanout 18 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[2], fanout 19 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[3], fanout 19 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[4], fanout 19 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[5], fanout 20 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[6], fanout 19 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_byte_maj[7], fanout 18 segments 2
Replicating Combinational Instance JTAG_controller.Session_Timeout_Counter.un1_s_counter12, fanout 13 segments 2
Replicating Combinational Instance engine_control.Session_Timeout_Counter.un1_s_counter12, fanout 21 segments 3
Replicating Combinational Instance model_constr_decoder.Model_Constructor_Decoder.un1_s_counter, fanout 16 segments 2
Replicating Combinational Instance JTAG_controller.m39, fanout 15 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.s_period_c_reinit_1, fanout 22 segments 3
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.s_period_c_reinit, fanout 11 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Deserializer.s_write_bit_to_byte_p, fanout 25 segments 3
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.rx_manch_clk_p_o, fanout 22 segments 3
Replicating Combinational Instance reset_unit.s_rstin_c_reinit_0_i_a2, fanout 12 segments 2
Replicating Combinational Instance engine_control.ID_DAT_var.un5_s_id_dat_var_byte_0_o3_0_o2, fanout 11 segments 2
Replicating Combinational Instance Consumption.Consumption_Outcome.Frame_Validation.un8_var_i, fanout 16 segments 2
Replicating Combinational Instance engine_control.s_time_c_load_0_a2_0_a2, fanout 18 segments 2
Replicating Combinational Instance FIELDRIVE_Transmitter.tx_serializer.tx_st_ns_0_a2_0[3], fanout 17 segments 2
Replicating Combinational Instance FIELDRIVE_Transmitter.tx_serializer.s_data_bit_to_crc_p_i, fanout 16 segments 2
Replicating Combinational Instance FIELDRIVE_Transmitter.tx_serializer.Session_Timeout_Counter.un1_s_counter12, fanout 21 segments 3
Replicating Combinational Instance FIELDRIVE_Transmitter.tx_oscillator.un1_s_period_c_reinit, fanout 13 segments 2
Replicating Combinational Instance FIELDRIVE_Transmitter.tx_oscillator.s_period_c_reinit_0, fanout 11 segments 2
Buffering slone_i_c, fanout 23 segments 3
Buffering rstpon_i_c, fanout 12 segments 2
Buffering rate_i_c[1], fanout 28 segments 3
Replicating Combinational Instance FIELDRIVE_Transmitter.tx_serializer.s_bit_index_decr_p_i_0, fanout 12 segments 2
Replicating Combinational Instance FIELDRIVE_Receiver.FIELDRIVE_Receiver_Oscillator.un2_s_period_1_0, fanout 38 segments 4
Replicating Combinational Instance rate_i_pad_1[1], fanout 13 segments 2
Replicating Combinational Instance FIELDRIVE_Transmitter.tx_serializer.Outgoing_Bits_Index.s_counter_maj[0], fanout 11 segments 2
Buffering rate_i_c[0], fanout 11 segments 2
Buffering rate_i_c[1], fanout 11 segments 2
Added 7 Buffers
Added 81 Cells via replication
Added 0 Sequential Cells via replication
Added 81 Combinational Cells via replication
Finished restoring hierarchy (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:05s; Memory used current: 135MB peak: 138MB)
@S |Clock Optimization Summary
#### START OF CLOCK OPTIMIZATION REPORT #####[
Clock optimization not enabled
2 non-gated/non-generated clock tree(s) driving 1644 clock pin(s) of sequential element(s)
0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
0 instances converted, 0 sequential instances remain driven by gated/generated clocks
========================================== Non-Gated/Non-Generated Clocks ===========================================
Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance
---------------------------------------------------------------------------------------------------------------------
@K:CKID0001 uclk_i clock definition on port 1596 model_constr_decoder.s_constr_stage1[3]
@K:CKID0002 wclk_i clock definition on port 48 WISHBONE_controller.s_wb_we_synch[2]
=====================================================================================================================
##### END OF CLOCK OPTIMIZATION REPORT ######]
Start Writing Netlists (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:05s; Memory used current: 128MB peak: 138MB)
Writing Analyst data base C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\synwork\nanofip_m.srm
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:06s; Memory used current: 133MB peak: 138MB)
Writing EDIF Netlist and constraint files
M-2017.03-SP1
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:06s; Memory used current: 136MB peak: 138MB)
Start final timing analysis (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:06s; Memory used current: 134MB peak: 138MB)
@N: MT615 |Found clock uclk_i with period 25.00ns
@N: MT615 |Found clock wclk_i with period 25.00ns
##### START OF TIMING REPORT #####[
# Timing Report written on Tue May 10 11:35:34 2022
#
Top view: nanofip
Library name: PA3
Operating conditions: COMWCSTD ( T = 70.0, V = 1.42, P = 1.74, tree_type = balanced_tree )
Requested Frequency: 40.0 MHz
Wire load mode: top
Wire load model: proasic3
Paths requested: 5
Constraint File(s): C:\nanoFIP\fmc_nanoFIP_v3\nanofip-gateware\syn\synplify_constraints.sdc
@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report.
@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock.
Performance Summary
*******************
Worst slack in design: 3.408
Requested Estimated Requested Estimated Clock Clock
Starting Clock Frequency Frequency Period Period Slack Type Group
----------------------------------------------------------------------------------------------------------------------
uclk_i 40.0 MHz 46.3 MHz 25.000 21.592 3.408 declared default_clkgroup__1
wclk_i 40.0 MHz 137.4 MHz 25.000 7.277 17.723 declared default_clkgroup__2
======================================================================================================================
Clock Relationships
*******************
Clocks | rise to rise | fall to fall | rise to fall | fall to rise
---------------------------------------------------------------------------------------------------------
Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack
---------------------------------------------------------------------------------------------------------
uclk_i uclk_i | 25.000 3.408 | No paths - | No paths - | No paths -
wclk_i wclk_i | 25.000 17.723 | No paths - | No paths - | No paths -
=========================================================================================================
Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
Interface Information
*********************
No IO constraint found
====================================
Detailed Report for Clock: uclk_i
====================================
Starting Points with Worst Slack
********************************
Starting Arrival
Instance Reference Type Pin Net Time Slack
Clock
---------------------------------------------------------------------------------------------------------------------------------------------------------
engine_control.Produced_Data_Length_Calculator.prod_data_lgth_o_tmr2[2] uclk_i DFN1 Q prod_data_lgth_o_tmr2[2] 0.737 3.408
engine_control.Produced_Data_Length_Calculator.prod_data_lgth_o_tmr3[2] uclk_i DFN1 Q prod_data_lgth_o_tmr3[2] 0.737 3.434
engine_control.Produced_Data_Length_Calculator.prod_data_lgth_o_tmr2[0] uclk_i DFN1 Q prod_data_lgth_o_tmr2[0] 0.737 3.700
engine_control.Produced_Data_Length_Calculator.prod_data_lgth_o_tmr3[0] uclk_i DFN1 Q prod_data_lgth_o_tmr3[0] 0.737 3.726
engine_control.Produced_Data_Length_Calculator.prod_data_lgth_o[2] uclk_i DFN1 Q prod_data_lgth_o[2] 0.737 3.731
engine_control.Produced_Data_Length_Calculator.prod_data_lgth_o_tmr2[1] uclk_i DFN1 Q prod_data_lgth_o_tmr2[1] 0.737 3.769
engine_control.Produced_Data_Length_Calculator.prod_data_lgth_o_tmr3[1] uclk_i DFN1 Q prod_data_lgth_o_tmr3[1] 0.737 3.796
engine_control.Produced_Data_Length_Calculator.prod_data_lgth_o[0] uclk_i DFN1 Q prod_data_lgth_o[0] 0.737 4.023
engine_control.Produced_Data_Length_Calculator.prod_data_lgth_o_tmr2[4] uclk_i DFN1 Q prod_data_lgth_o_tmr2[4] 0.737 4.041
engine_control.Produced_Data_Length_Calculator.prod_data_lgth_o_tmr3[4] uclk_i DFN1 Q prod_data_lgth_o_tmr3[4] 0.737 4.067
=========================================================================================================================================================
Ending Points with Worst Slack
******************************
Starting Required
Instance Reference Type Pin Net Time Slack
Clock
----------------------------------------------------------------------------------------------------------------------------------------------
FIELDRIVE_Transmitter.tx_serializer.s_data_byte[0] uclk_i DFN1 D s_data_byte_maj_RNICI6VA4[0] 24.427 3.408
FIELDRIVE_Transmitter.tx_serializer.s_data_byte[3] uclk_i DFN1 D s_data_byte_maj_RNILI6804[3] 24.427 3.408
FIELDRIVE_Transmitter.tx_serializer.s_data_byte[4] uclk_i DFN1 D s_data_byte_maj_RNIQB2H24[4] 24.427 3.408
FIELDRIVE_Transmitter.tx_serializer.s_data_byte[6] uclk_i DFN1 D s_data_byte_maj_RNICFUF24[6] 24.427 3.408
FIELDRIVE_Transmitter.tx_serializer.s_data_byte[7] uclk_i DFN1 D s_data_byte_maj_RNI33E414[7] 24.427 3.408
FIELDRIVE_Transmitter.tx_serializer.s_data_byte_tmr2[0] uclk_i DFN1 D s_data_byte_maj_RNICI6VA4[0] 24.427 3.408
FIELDRIVE_Transmitter.tx_serializer.s_data_byte_tmr2[3] uclk_i DFN1 D s_data_byte_maj_RNILI6804[3] 24.427 3.408
FIELDRIVE_Transmitter.tx_serializer.s_data_byte_tmr2[4] uclk_i DFN1 D s_data_byte_maj_RNIQB2H24[4] 24.427 3.408
FIELDRIVE_Transmitter.tx_serializer.s_data_byte_tmr2[6] uclk_i DFN1 D s_data_byte_maj_RNICFUF24[6] 24.427 3.408
FIELDRIVE_Transmitter.tx_serializer.s_data_byte_tmr2[7] uclk_i DFN1 D s_data_byte_maj_RNI33E414[7] 24.427 3.408
==============================================================================================================================================
Worst Path Information
***********************
Path information for path number 1:
Requested Period: 25.000
- Setup time: 0.573
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 24.427
- Propagation time: 21.018
- Clock delay at starting point: 0.000 (ideal)
= Slack (critical) : 3.408
Number of logic level(s): 15
Starting point: engine_control.Produced_Data_Length_Calculator.prod_data_lgth_o_tmr2[2] / Q
Ending point: FIELDRIVE_Transmitter.tx_serializer.s_data_byte[0] / D
The start point is clocked by uclk_i [rising] on pin CLK
The end point is clocked by uclk_i [rising] on pin CLK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
---------------------------------------------------------------------------------------------------------------------------------------------
engine_control.Produced_Data_Length_Calculator.prod_data_lgth_o_tmr2[2] DFN1 Q Out 0.737 0.737 -
prod_data_lgth_o_tmr2[2] Net - - 0.322 - 1
engine_control.Produced_Data_Length_Calculator.prod_data_lgth_o_maj[2] MAJ3 B In - 1.058 -
engine_control.Produced_Data_Length_Calculator.prod_data_lgth_o_maj[2] MAJ3 Y Out 0.732 1.790 -
s_prod_data_lgth[2] Net - - 1.669 - 9
Production.production_bytes_retriever.Bytes_Generation\.un14_slone_i_a_4.I_10 OR3 C In - 3.458 -
Production.production_bytes_retriever.Bytes_Generation\.un14_slone_i_a_4.I_10 OR3 Y Out 0.751 4.209 -
DWACT_FDEC_E[0] Net - - 1.184 - 4
Production.production_bytes_retriever.Bytes_Generation\.un14_slone_i_a_4.I_19 OR3 A In - 5.393 -
Production.production_bytes_retriever.Bytes_Generation\.un14_slone_i_a_4.I_19 OR3 Y Out 0.488 5.881 -
N_2_1 Net - - 0.322 - 1
Production.production_bytes_retriever.Bytes_Generation\.un14_slone_i_a_4.I_20 XNOR2 A In - 6.203 -
Production.production_bytes_retriever.Bytes_Generation\.un14_slone_i_a_4.I_20 XNOR2 Y Out 0.488 6.691 -
un14_slone_i_a_4[7] Net - - 0.322 - 1
Production.production_bytes_retriever.s_byte_index_d1_maj_RNIQLL22[7] XOR2 A In - 7.013 -
Production.production_bytes_retriever.s_byte_index_d1_maj_RNIQLL22[7] XOR2 Y Out 0.408 7.421 -
un14_slone_i_7 Net - - 0.322 - 1
Production.production_bytes_retriever.s_byte_index_d1_maj_RNIO8HU3[6] XO1 C In - 7.742 -
Production.production_bytes_retriever.s_byte_index_d1_maj_RNIO8HU3[6] XO1 Y Out 0.490 8.232 -
un14_slone_i_NE_4 Net - - 0.322 - 1
Production.production_bytes_retriever.s_byte_index_d1_maj_RNI3KG0A[4] OR3 C In - 8.554 -
Production.production_bytes_retriever.s_byte_index_d1_maj_RNI3KG0A[4] OR3 Y Out 0.751 9.305 -
un14_slone_i_NE Net - - 1.279 - 5
Production.production_bytes_retriever.s_byte_index_d1_maj_RNITQUHD[1] AO1D A In - 10.584 -
Production.production_bytes_retriever.s_byte_index_d1_maj_RNITQUHD[1] AO1D Y Out 0.480 11.064 -
un17_slone_i Net - - 0.386 - 2
Production.production_bytes_retriever.s_byte_index_d1_maj_RNIOH1EH[1] OR2A B In - 11.449 -
Production.production_bytes_retriever.s_byte_index_d1_maj_RNIOH1EH[1] OR2A Y Out 0.514 11.964 -
un21_slone_i Net - - 0.386 - 2
Production.production_bytes_retriever.s_byte_index_d1_maj_RNILKFSH[1] NOR2A B In - 12.350 -
Production.production_bytes_retriever.s_byte_index_d1_maj_RNILKFSH[1] NOR2A Y Out 0.386 12.735 -
byte_o_5_sqmuxa_1 Net - - 1.669 - 9
Production.production_bytes_retriever.s_byte_index_d1_maj_RNIRO7BK[1] NOR2B B In - 14.404 -
Production.production_bytes_retriever.s_byte_index_d1_maj_RNIRO7BK[1] NOR2B Y Out 0.627 15.031 -
byte_o_6_sqmuxa Net - - 1.639 - 8
Production.production_bytes_retriever.s_slone_bytes_maj_RNI20IJK[8] NOR2B B In - 16.670 -
Production.production_bytes_retriever.s_slone_bytes_maj_RNI20IJK[8] NOR2B Y Out 0.627 17.298 -
s_slone_bytes_m[8] Net - - 0.322 - 1
Production.production_bytes_retriever.s_slone_bytes_maj_RNIEPSA94[0] OR3 C In - 17.619 -
Production.production_bytes_retriever.s_slone_bytes_maj_RNIEPSA94[0] OR3 Y Out 0.751 18.370 -
s_byte_to_tx[0] Net - - 0.322 - 1
FIELDRIVE_Transmitter.tx_serializer.s_data_byte_maj_RNIAJNJ94[0] MX2 B In - 18.691 -
FIELDRIVE_Transmitter.tx_serializer.s_data_byte_maj_RNIAJNJ94[0] MX2 Y Out 0.572 19.263 -
N_56 Net - - 0.322 - 1
FIELDRIVE_Transmitter.tx_serializer.s_data_byte_maj_RNICI6VA4[0] NOR2A A In - 19.585 -
FIELDRIVE_Transmitter.tx_serializer.s_data_byte_maj_RNICI6VA4[0] NOR2A Y Out 0.627 20.212 -
s_data_byte_maj_RNICI6VA4[0] Net - - 0.806 - 3
FIELDRIVE_Transmitter.tx_serializer.s_data_byte[0] DFN1 D In - 21.018 -
=============================================================================================================================================
Total path delay (propagation time + setup) of 21.592 is 10.003(46.3%) logic and 11.589(53.7%) route.
Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
====================================
Detailed Report for Clock: wclk_i
====================================
Starting Points with Worst Slack
********************************
Starting Arrival
Instance Reference Type Pin Net Time Slack
Clock
-----------------------------------------------------------------------------------------------------------------------------
reset_unit.s_wb_por_synch_tmr2[1] wclk_i DFN1P0 Q s_wb_por_synch_tmr2[1] 0.737 17.723
reset_unit.s_wb_por_synch_tmr3[1] wclk_i DFN1P0 Q s_wb_por_synch_tmr3[1] 0.737 17.749
reset_unit.s_wb_por_synch[1] wclk_i DFN1P0 Q s_wb_por_synch_0[1] 0.737 18.047
WISHBONE_controller.s_wb_stb_synch_tmr2[2] wclk_i DFN1 Q s_wb_stb_synch_tmr2[2] 0.580 18.839
WISHBONE_controller.s_wb_stb_synch_tmr2[3] wclk_i DFN1 Q s_wb_stb_synch_tmr2[3] 0.580 18.957
WISHBONE_controller.s_wb_stb_synch_tmr3[2] wclk_i DFN1 Q s_wb_stb_synch_tmr3[2] 0.737 18.973
WISHBONE_controller.s_wb_stb_synch_tmr3[3] wclk_i DFN1 Q s_wb_stb_synch_tmr3[3] 0.737 19.076
WISHBONE_controller.s_wb_cyc_synch_tmr2[2] wclk_i DFN1 Q s_wb_cyc_synch_tmr2[2] 0.580 19.076
WISHBONE_controller.s_wb_cyc_synch_tmr3[2] wclk_i DFN1 Q s_wb_cyc_synch_tmr3[2] 0.737 19.129
WISHBONE_controller.s_wb_stb_synch[2] wclk_i DFN1 Q s_wb_stb_synch_0[2] 0.737 19.270
=============================================================================================================================
Ending Points with Worst Slack
******************************
Starting Required
Instance Reference Type Pin Net Time Slack
Clock
-------------------------------------------------------------------------------------------------------------------------------------
WISHBONE_controller.wb_ack_p_o wclk_i DFN1 D s_wb_we_synch_maj_RNIN65N1_0[2] 24.461 17.723
WISHBONE_controller.wb_ack_p_o_tmr2 wclk_i DFN1 D s_wb_we_synch_maj_RNIN65N1_0[2] 24.461 17.723
WISHBONE_controller.wb_ack_p_o_tmr3 wclk_i DFN1 D s_wb_we_synch_maj_RNIN65N1_0[2] 24.461 17.723
WISHBONE_controller.wb_ack_prod_p_o wclk_i DFN1 D s_wb_we_synch_maj_RNIN65N1[2] 24.461 17.723
WISHBONE_controller.wb_ack_prod_p_o_tmr2 wclk_i DFN1 D s_wb_we_synch_maj_RNIN65N1[2] 24.461 17.723
WISHBONE_controller.wb_ack_prod_p_o_tmr3 wclk_i DFN1 D s_wb_we_synch_maj_RNIN65N1[2] 24.461 17.723
WISHBONE_controller.s_wb_stb_synch[3] wclk_i DFN1 D s_wb_stb_synch_maj_RNIC6CR[2] 24.427 19.194
WISHBONE_controller.s_wb_stb_synch_tmr2[3] wclk_i DFN1 D s_wb_stb_synch_maj_RNIC6CR[2] 24.427 19.194
WISHBONE_controller.s_wb_stb_synch_tmr3[3] wclk_i DFN1 D s_wb_stb_synch_maj_RNIC6CR[2] 24.427 19.194
WISHBONE_controller.s_wb_cyc_synch[0] wclk_i DFN1 D s_wb_cyc_synch_0[0] 24.427 19.666
=====================================================================================================================================
Worst Path Information
***********************
Path information for path number 1:
Requested Period: 25.000
- Setup time: 0.539
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 24.461
- Propagation time: 6.738
- Clock delay at starting point: 0.000 (ideal)
= Slack (non-critical) : 17.723
Number of logic level(s): 3
Starting point: reset_unit.s_wb_por_synch_tmr2[1] / Q
Ending point: WISHBONE_controller.wb_ack_prod_p_o / D
The start point is clocked by wclk_i [rising] on pin CLK
The end point is clocked by wclk_i [rising] on pin CLK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
------------------------------------------------------------------------------------------------------------------
reset_unit.s_wb_por_synch_tmr2[1] DFN1P0 Q Out 0.737 0.737 -
s_wb_por_synch_tmr2[1] Net - - 0.322 - 1
reset_unit.s_wb_por_synch_maj[1] MAJ3 B In - 1.058 -
reset_unit.s_wb_por_synch_maj[1] MAJ3 Y Out 0.732 1.790 -
s_wb_por_synch[1] Net - - 1.708 - 10
WISHBONE_controller.s_wb_stb_synch_maj_RNIC6CR[2] NOR3A B In - 3.498 -
WISHBONE_controller.s_wb_stb_synch_maj_RNIC6CR[2] NOR3A Y Out 0.488 3.987 -
s_wb_stb_synch_maj_RNIC6CR[2] Net - - 1.279 - 5
WISHBONE_controller.s_wb_we_synch_maj_RNIN65N1[2] NOR3C C In - 5.266 -
WISHBONE_controller.s_wb_we_synch_maj_RNIN65N1[2] NOR3C Y Out 0.666 5.932 -
s_wb_we_synch_maj_RNIN65N1[2] Net - - 0.806 - 3
WISHBONE_controller.wb_ack_prod_p_o DFN1 D In - 6.738 -
==================================================================================================================
Total path delay (propagation time + setup) of 7.277 is 3.161(43.4%) logic and 4.116(56.6%) route.
Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
##### END OF TIMING REPORT #####]
Timing exceptions that could not be applied
None
Finished final timing analysis (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:06s; Memory used current: 134MB peak: 138MB)
Finished timing report (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:06s; Memory used current: 134MB peak: 138MB)
--------------------------------------------------------------------------------
Target Part: A3P400_PQFP208_Std
Report for cell nanofip.struc
Core Cell usage:
cell count area count*area
AND2 25 1.0 25.0
AND2A 11 1.0 11.0
AND3 15 1.0 15.0
AND3A 5 1.0 5.0
AO1 90 1.0 90.0
AO13 2 1.0 2.0
AO15 1 1.0 1.0
AO16 3 1.0 3.0
AO1A 24 1.0 24.0
AO1B 6 1.0 6.0
AO1C 10 1.0 10.0
AO1D 9 1.0 9.0
AOI1 2 1.0 2.0
AOI1A 24 1.0 24.0
AOI1B 6 1.0 6.0
AOI5 2 1.0 2.0
AX1 2 1.0 2.0
AX1A 1 1.0 1.0
AX1B 2 1.0 2.0
AX1C 27 1.0 27.0
AX1D 1 1.0 1.0
AXOI1 1 1.0 1.0
AXOI3 2 1.0 2.0
AXOI4 2 1.0 2.0
AXOI5 1 1.0 1.0
BUFF 8 1.0 8.0
CLKINT 2 0.0 0.0
GND 54 0.0 0.0
INV 6 1.0 6.0
MAJ3 627 1.0 627.0
MX2 226 1.0 226.0
MX2A 4 1.0 4.0
MX2B 27 1.0 27.0
MX2C 11 1.0 11.0
NOR2 112 1.0 112.0
NOR2A 311 1.0 311.0
NOR2B 164 1.0 164.0
NOR3 27 1.0 27.0
NOR3A 96 1.0 96.0
NOR3B 51 1.0 51.0
NOR3C 67 1.0 67.0
OA1 36 1.0 36.0
OA1A 13 1.0 13.0
OA1B 55 1.0 55.0
OA1C 7 1.0 7.0
OAI1 4 1.0 4.0
OR2 173 1.0 173.0
OR2A 74 1.0 74.0
OR2B 33 1.0 33.0
OR3 130 1.0 130.0
OR3A 14 1.0 14.0
OR3B 6 1.0 6.0
OR3C 6 1.0 6.0
VCC 54 0.0 0.0
XA1 17 1.0 17.0
XA1A 19 1.0 19.0
XA1B 46 1.0 46.0
XA1C 16 1.0 16.0
XAI1 47 1.0 47.0
XAI1A 4 1.0 4.0
XNOR2 73 1.0 73.0
XNOR3 2 1.0 2.0
XO1 9 1.0 9.0
XO1A 27 1.0 27.0
XOR2 123 1.0 123.0
XOR3 5 1.0 5.0
DFN1 1611 1.0 1611.0
DFN1P0 12 1.0 12.0
DFN1P1 3 1.0 3.0
RAM4K9 9 0.0 0.0
----- ----------
TOTAL 4695 4576.0
IO Cell usage:
cell count
CLKBUF 2
INBUF 62
OUTBUF 34
-----
TOTAL 98
Core Cells : 4576 of 9216 (50%)
IO Cells : 98
RAM/ROM Usage Summary
Block Rams : 9 of 12 (75%)
Mapper successful!
At Mapper Exit (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:06s; Memory used current: 30MB peak: 138MB)
Process took 0h:00m:08s realtime, 0h:00m:06s cputime
# Tue May 10 11:35:34 2022
###########################################################]
......@@ -295,7 +295,7 @@ entity nanofip is port(
-- User Interface, NON-WISHBONE
r_fcser_o : out std_logic; -- nanoFIP status byte, bit 5
r_tler_o : out std_logic; -- nanoFIP status byte, bit 4
r_tler_o : out std_logic; -- on v3 this pin groups all status errors (was: nanoFIP status byte, bit 4)
u_cacer_o : out std_logic; -- nanoFIP status byte, bit 2
u_pacer_o : out std_logic; -- nanoFIP status byte, bit 3
......@@ -356,6 +356,8 @@ architecture struc of nanofip is
signal s_jc_mem_adr_rd : std_logic_vector (8 downto 0);
signal s_jc_tdo_byte : std_logic_vector (7 downto 0);
signal r_tler, u_pacer, u_cacer, r_fcser : std_logic; -- for v3
--=================================================================================================
-- architecture declaration
......@@ -473,13 +475,17 @@ begin
jc_tdo_byte_i => s_jc_tdo_byte,
-------------------------------------------------------------
byte_o => s_byte_to_tx,
u_cacer_o => u_cacer_o,
u_pacer_o => u_pacer_o,
r_tler_o => r_tler_o,
r_fcser_o => r_fcser_o,
u_cacer_o => u_cacer,
u_pacer_o => u_pacer,
r_tler_o => r_tler,
r_fcser_o => r_fcser,
var3_rdy_o => s_var3_rdy);
-------------------------------------------------------------
u_cacer_o <= u_cacer;
u_pacer_o <= u_pacer;
r_fcser_o <= r_fcser;
r_tler_o <= r_tler or u_pacer or u_cacer or r_fcser;
---------------------------------------------------------------------------------------------------
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment