Commit 96f4f0c5 authored by Tristan Gingold's avatar Tristan Gingold

rtl: remove some unused signals

parent 907f0715
......@@ -91,10 +91,7 @@ architecture arch of mt_urv_wrapper is
signal ha_im_addr : std_logic_vector(31 downto 0);
signal ha_im_wdata : std_logic_vector(31 downto 0);
signal ha_im_rdata : std_logic_vector(31 downto 0);
signal ha_im_write : std_logic;
signal ha_im_access : std_logic;
signal ha_im_access_d : std_logic;
signal im_addr_muxed : std_logic_vector(31 downto 0);
......
......@@ -123,6 +123,7 @@ architecture arch of mock_turtle_core is
1 => x"00003fff",
2 => x"0000ffff");
-- HAC: Host ACcess
constant c_HAC_WISHBONE_MASTERS : integer := 4;
constant c_HAC_MASTER_HMQ : integer := 0;
constant c_HAC_MASTER_CPU_CSR : integer := 1;
......@@ -175,8 +176,6 @@ architecture arch of mock_turtle_core is
signal hmq_slave_out : t_wishbone_slave_out_array(t_cpu_range);
signal hmq_cpu_index : natural;
signal hmq_in_irq_vec : std_logic_vector(t_cpu_range);
signal hmq_out_irq_vec : std_logic_vector(t_cpu_range);
signal hmq_in_irq_msk : std_logic_vector(t_cpu_range);
signal hmq_out_irq_msk : std_logic_vector(t_cpu_range);
signal hmq_in_irq : std_logic;
......@@ -210,7 +209,6 @@ architecture arch of mock_turtle_core is
signal mbx_data_towb : t_word_array(t_maxcpu_range);
signal dbg_insn : t_word_array(t_maxcpu_range);
signal dbg_insn_wr : std_logic_vector(t_maxcpu_range);
signal dbg_insn_ready : std_logic_vector(t_maxcpu_range);
signal cpu_index : integer := 0;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment