Commit 9550372a authored by Tristan Gingold's avatar Tristan Gingold

svec_mt_demo: minor refactoring.

parent 377da962
......@@ -173,6 +173,7 @@ architecture arch of svec_mt_demo is
signal cpu0_gpio_oen, cpu1_gpio_oen : std_logic_vector(23 downto 0);
signal cpu0_gpio_out, cpu1_gpio_out : std_logic_vector(23 downto 0);
signal cpu_gpio_oen : std_logic_vector(23 downto 0);
signal cpu_gpio_out, cpu_gpio_in : std_logic_vector(23 downto 0);
signal VME_DATA_b_out : std_logic_vector(31 downto 0);
......@@ -308,7 +309,7 @@ begin -- architecture arch
-- synthesis translate_off
cnx_slave_in(c_MASTER_VME) <= sim_wb_i;
sim_wb_o <= cnx_slave_out(c_MASTER_VME);
-- synthesis translate_on
-- synthesis translate_on
end generate gen_without_vme64_core;
U_Intercon : xwb_sdb_crossbar
......@@ -388,21 +389,18 @@ begin -- architecture arch
gpio_oen_o => cpu1_gpio_oen);
cpu_gpio_out <= cpu0_gpio_out or cpu1_gpio_out;
cpu_gpio_oen <= cpu0_gpio_oen or cpu1_gpio_oen;
-- FP GPIO directions
fp_gpio1_a2b_o <= cpu0_gpio_oen(0) or cpu1_gpio_oen(0);
fp_gpio2_a2b_o <= cpu0_gpio_oen(1) or cpu1_gpio_oen(1);
fp_gpio34_a2b_o <= cpu0_gpio_oen(2) or cpu1_gpio_oen(2);
fp_gpio1_a2b_o <= cpu_gpio_oen(0);
fp_gpio2_a2b_o <= cpu_gpio_oen(1);
fp_gpio34_a2b_o <= cpu_gpio_oen(2);
-- FP GPIO bidir in/out (3 and 4 share the same direction line)
fp_gpio1_b <= (cpu0_gpio_out(0) or cpu1_gpio_out(0))
when (cpu0_gpio_oen(0) = '1' or cpu1_gpio_oen(0) = '1') else 'Z';
fp_gpio2_b <= (cpu0_gpio_out(1) or cpu1_gpio_out(1))
when (cpu0_gpio_oen(1) = '1' or cpu1_gpio_oen(1) = '1') else 'Z';
fp_gpio3_b <= (cpu0_gpio_out(2) or cpu1_gpio_out(2))
when (cpu0_gpio_oen(2) = '1' or cpu1_gpio_oen(2) = '1') else 'Z';
fp_gpio4_b <= (cpu0_gpio_out(3) or cpu1_gpio_out(3))
when (cpu0_gpio_oen(2) = '1' or cpu1_gpio_oen(2) = '1') else 'Z';
fp_gpio1_b <= cpu_gpio_out(0) when cpu_gpio_oen(0) = '1' else 'Z';
fp_gpio2_b <= cpu_gpio_out(1) when cpu_gpio_oen(1) = '1' else 'Z';
fp_gpio3_b <= cpu_gpio_out(2) when cpu_gpio_oen(2) = '1' else 'Z';
fp_gpio4_b <= cpu_gpio_out(3) when cpu_gpio_oen(2) = '1' else 'Z';
-- gpio inputs (same for both CPUs)
cpu_gpio_in(0) <= fp_gpio1_b;
......@@ -410,7 +408,7 @@ begin -- architecture arch
cpu_gpio_in(2) <= fp_gpio3_b;
cpu_gpio_in(3) <= fp_gpio4_b;
cpu_gpio_in(23 downto 8) <= cpu_gpio_out(23 downto 8);
cpu_gpio_in(23 downto 4) <= cpu_gpio_out(23 downto 4);
U_LED_Controller : gc_bicolor_led_ctrl
generic map(
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment