Commit e33baa6a authored by Evangelia Gousiou's avatar Evangelia Gousiou

cleanup (separate folder with the modules coming directly from nanoFIP)

parent e149a38c
......@@ -391,7 +391,7 @@ begin
rx_byte_index_o => rx_byte_index, -- should have the amount of bytes
rx_ctrl_byte_o => rx_ctrl_byte,
rx_frame_o => rx_frame,
rx_tx_byte_o => rx_byte,
rx_byte_o => rx_byte,
rx_byte_ready_p_o => rx_byte_ready_p,
rx_fss_crc_fes_ok_p_o => rx_frame_ok_p,
rx_fss_received_p_o => rx_fss_received_p,
......
......@@ -123,15 +123,6 @@ entity fmc_masterFIP_mezzanine is
wb_ack_o : out std_logic;
wb_stall_o : out std_logic;
wb_irq_o : out std_logic;
-- I2C EEPROM interface
i2c_scl_o : out std_logic;
i2c_scl_oen_o : out std_logic;
i2c_scl_i : in std_logic;
i2c_sda_oen_o : out std_logic;
i2c_sda_o : out std_logic;
i2c_sda_i : in std_logic;
-- 1-Wire interface
onewire_b : inout std_logic;
-- Aux
aux_o : out std_logic_vector(7 downto 0));
end fmc_masterFIP_mezzanine;
......
......@@ -113,7 +113,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.wf_package.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
-- Entity declaration for wf_rx_deserializer
......
......@@ -71,7 +71,7 @@ use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.masterFIP_pkg.all; -- definitions of types, constants, entities
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
......@@ -172,7 +172,7 @@ begin
s_period_c_is_full <= '1' when s_period_c = s_period -1 else '0'; -- counter full indicator
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- counter reinitialized: if core_rst_i is active or
-- counter reinitialized: if nfip_rst_i is active or
-- if rx_osc_rst_i is active or
-- if an edge is detected in the expected window or
-- if it fills up
......
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment