Commit d91fac3b authored by Dimitris Lampridis's avatar Dimitris Lampridis

introduce hdlmake-based build system

parent 8d3b9552
......@@ -2,7 +2,7 @@
path = ip_cores/general-cores
url = git://ohwr.org/hdl-core-lib/general-cores.git
[submodule "ip_cores/nanofip"]
path = ip_cores/nanofip
path = ip_cores/nanofip-gateware
url = git://ohwr.org/cern-fip/nanofip/nanofip-gateware.git
[submodule "ip_cores/gn4124-core"]
path = ip_cores/gn4124-core
......
mockturtle @ 4bd39aa8
Subproject commit 371d60c8132b5d1a0ee189add67aa81c7e9c5b13
Subproject commit 4bd39aa8778cfbac7e47b779ded5808656289ba3
nanofip-gateware @ e51c789c
File moved
urv-core @ 4d6e4c92
Subproject commit 134759b20e8fa5241d3a3424393c6fbdfb66c6df
Subproject commit 4d6e4c920923fac8d990b69e17b4a394ca71d0ce
files = [
"decr_counter.vhd",
"fmc_masterFIP_core.vhd",
"incr_counter.vhd",
"masterFIP_pkg.vhd",
"masterfip_rx.vhd",
"masterfip_tx.vhd",
"masterfip_wbgen2_csr.vhd",
"masterfip_wbgen2_pkg.vhd",
"wf_package.vhd",
]
action = "synthesis"
target = "xilinx"
fetchto = "../../ip_cores"
syn_device = "xc6slx45t"
syn_grade = "-3"
syn_package = "fgg484"
syn_top = "spec_masterfip_mt_urv"
syn_project = "spec_masterfip_mt_urv.xise"
top_module = "spec_masterfip_mt_urv"
syn_tool = "ise"
modules = {
"local" : [
"../../top/spec",
],
"git" : [
"git://ohwr.org/hdl-core-lib/general-cores.git",
"git://ohwr.org/hdl-core-lib/urv-core.git",
"git://ohwr.org/hdl-core-lib/gn4124-core.git",
"ssh://git@gitlab.cern.ch:7999/coht/mockturtle.git",
"git://ohwr.org/cern-fip/nanofip/nanofip-gateware.git",
],
}
files = [
"spec_masterfip_mt.ucf",
"spec_masterfip_mt_urv.vhd",
"spec_reset_gen.vhd",
]
modules = {
"local" : [
"../../rtl",
],
}
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment