Commit ae83ef6f authored by Alén Arias Vázquez's avatar Alén Arias Vázquez 😎

define URL to be able to clone in CI

parent d461d109
......@@ -10,13 +10,6 @@ MOCKTURTLE_TAG=v3.1.0
NANOFIP_TAG=master
WR_CORE_TAG=tom-wr-node
ETHERNET_CORE_URL=ohwr.org/hdl-core-lib/etherbone-core.git
GENERAL_CORES_URL=https://ohwr.org/hdl-core-lib/general-cores.git
GN4124_CORE_URL=https://ohwr.org/hdl-core-lib/gn4124-core.git
MOCKTURTLE_URL=https://gitlab.cern.ch/coht/mockturtle.git
NANOFIP_URL=https://ohwr.org/cern-fip/nanofip/nanofip-gateware.git
WR_CORE_URL=https://ohwr.org/project/wr-cores.git
ETHERNET_CORE_DIR=${LIB_DIR}/etherbone-core
GENERAL_CORES_DIR=${LIB_DIR}/general-cores
GN4124_CORE_DIR=${LIB_DIR}/gn4124-core
......@@ -27,13 +20,25 @@ WR_CORE_DIR=${LIB_DIR}/wr-cores
# ##############################################################################
# CI commands
ifdef GITLAB_CI
ETHERNET_CORE_CMD='git clone --depth=1 -b ${ETHERNET_CORE_TAG} https://gitlab-reader:${CI_JOB_TOKEN}@${ETHERNET_CORE_URL} ${ETHERNET_CORE_DIR}'
GENERAL_CORES_CMD='git clone --depth=1 -b ${GENERAL_CORES_TAG} https://gitlab-reader:${CI_JOB_TOKEN}@${GENERAL_CORES_URL} ${GENERAL_CORES_DIR}'
GN4124_CORE_CMD='git clone -b ${GN4124_CORE_TAG} https://gitlab-reader:${CI_JOB_TOKEN}@${GN4124_CORE_URL} ${GN4124_CORE_DIR}'
MOCKTURTLE_CMD='git clone --depth=1 -b ${MOCKTURTLE_TAG} https://gitlab-reader:${CI_JOB_TOKEN}@${MOCKTURTLE_URL} ${MOCKTURTLE_DIR}'
NANOFIP_CMD='git clone --depth=1 -b ${NANOFIP_TAG} https://gitlab-reader:${CI_JOB_TOKEN}@${NANOFIP_URL} ${NANOFIP_DIR}'
WR_CORE_CMD='git clone --depth=1 -b ${WR_CORE_TAG} https://gitlab-reader:${CI_JOB_TOKEN}@${WR_CORE_URL} ${WR_CORE_DIR}'
ETHERNET_CORE_URL=https://gitlab-reader:${CI_JOB_TOKEN}@ohwr.org/hdl-core-lib/etherbone-core.git
GENERAL_CORES_URL=https://gitlab-reader:${CI_JOB_TOKEN}@ohwr.org/hdl-core-lib/general-cores.git
GN4124_CORE_URL=https://gitlab-reader:${CI_JOB_TOKEN}@ohwr.org/hdl-core-lib/gn4124-core.git
MOCKTURTLE_URL=https://gitlab-reader:${CI_JOB_TOKEN}@gitlab.cern.ch/coht/mockturtle.git
NANOFIP_URL=https://gitlab-reader:${CI_JOB_TOKEN}@ohwr.org/cern-fip/nanofip/nanofip-gateware.git
WR_CORE_URL=https://gitlab-reader:${CI_JOB_TOKEN}@ohwr.org/project/wr-cores.git
ETHERNET_CORE_CMD='git clone --depth=1 -b ${ETHERNET_CORE_TAG} ${ETHERNET_CORE_URL} ${ETHERNET_CORE_DIR}'
GENERAL_CORES_CMD='git clone --depth=1 -b ${GENERAL_CORES_TAG} ${GENERAL_CORES_URL} ${GENERAL_CORES_DIR}'
GN4124_CORE_CMD='git clone -b ${GN4124_CORE_TAG} ${GN4124_CORE_URL} ${GN4124_CORE_DIR}'
MOCKTURTLE_CMD='git clone --depth=1 -b ${MOCKTURTLE_TAG} ${MOCKTURTLE_URL} ${MOCKTURTLE_DIR}'
NANOFIP_CMD='git clone --depth=1 -b ${NANOFIP_TAG} ${NANOFIP_URL} ${NANOFIP_DIR}'
WR_CORE_CMD='git clone --depth=1 -b ${WR_CORE_TAG} ${WR_CORE_URL} ${WR_CORE_DIR}'
else
ETHERNET_CORE_URL=https://ohwr.org/hdl-core-lib/etherbone-core.git
GENERAL_CORES_URL=https://ohwr.org/hdl-core-lib/general-cores.git
GN4124_CORE_URL=https://ohwr.org/hdl-core-lib/gn4124-core.git
MOCKTURTLE_URL=https://gitlab.cern.ch/coht/mockturtle.git
NANOFIP_URL=https://ohwr.org/cern-fip/nanofip/nanofip-gateware.git
WR_CORE_URL=https://ohwr.org/project/wr-cores.git
ETHERNET_CORE_CMD='git clone -b ${ETHERNET_CORE_TAG} ${ETHERNET_CORE_URL} ${ETHERNET_CORE_DIR}'
GENERAL_CORES_CMD='git clone -b ${GENERAL_CORES_TAG} ${GENERAL_CORES_URL} ${GENERAL_CORES_DIR}'
GN4124_CORE_CMD='git clone -b ${GN4124_CORE_TAG} ${GN4124_CORE_URL} ${GN4124_CORE_DIR}'
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment