Commit 74930ea5 authored by kblantos's avatar kblantos

Tested corner cases for write and read to/from RMQ

parent b3e3c04a
This diff is collapsed.
......@@ -4,16 +4,16 @@ quietly WaveActivateNextPane {} 0
# Main Testbench
add wave -noupdate -expand -group TESTBENCH /main/mosi_data
add wave -noupdate -expand -group TESTBENCH /main/miso_data
add wave -noupdate -expand -group TESTBENCH /main/test_miso_data
add wave -noupdate -expand -group TESTBENCH /main/negedge_cnt
add wave -noupdate -expand -group TESTBENCH /main/posedge_cnt
add wave -noupdate -expand -group TESTBENCH /main/miso_data
add wave -noupdate -expand -group TESTBENCH -unsigned /main/posedge_cnt
add wave -noupdate -expand -group TESTBENCH /main/q_mosi_data
add wave -noupdate -expand -group TESTBENCH -unsigned /main/mosi_cnt
add wave -noupdate -expand -group TESTBENCH /main/q_miso_data
add wave -noupdate -expand -group TESTBENCH -unsigned /main/miso_cnt
add wave -noupdate -expand -group TESTBENCH /main/operation
add wave -noupdate -expand -group TESTBENCH /main/data_len
add wave -noupdate -expand -group TESTBENCH /main/rmq_id
add wave -noupdate -expand -group TESTBENCH -unsigned /main/data_len
add wave -noupdate -expand -group TESTBENCH -unsigned /main/rmq_id
add wave -noupdate -expand -group TESTBENCH /main/basic_info
add wave -noupdate -expand -group TESTBENCH /main/cnt
# ERTEC
......@@ -35,15 +35,15 @@ add wave -noupdate -expand -group DUT_RMQ -color Orange /main/DUT/rmq_snk_out
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green /main/DUT/cmp_mt_profip_translator/clk_i
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green /main/DUT/cmp_mt_profip_translator/rst_n_i
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green /main/DUT/cmp_mt_profip_translator/s_operation
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green /main/DUT/cmp_mt_profip_translator/s_rmq_id
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green -unsigned /main/DUT/cmp_mt_profip_translator/s_rmq_id
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green /main/DUT/cmp_mt_profip_translator/s_wr_state
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green /main/DUT/cmp_mt_profip_translator/s_mosi_data
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green /main/DUT/cmp_mt_profip_translator/s_wr_data_valid
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green /main/DUT/cmp_mt_profip_translator/s_wr_control
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green /main/DUT/cmp_mt_profip_translator/s_wr_rmq_id
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green /main/DUT/cmp_mt_profip_translator/s_wr_data_len
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green /main/DUT/cmp_mt_profip_translator/s_wr_data_cnt
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green /main/DUT/cmp_mt_profip_translator/s_wr_header_cnt
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green -unsigned /main/DUT/cmp_mt_profip_translator/s_wr_rmq_id
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green -unsigned /main/DUT/cmp_mt_profip_translator/s_wr_data_len
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green -unsigned /main/DUT/cmp_mt_profip_translator/s_wr_data_cnt
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green -unsigned /main/DUT/cmp_mt_profip_translator/s_wr_header_cnt
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green /main/DUT/cmp_mt_profip_translator/s_wr_header
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green /main/DUT/cmp_mt_profip_translator/s_wr_data
add wave -noupdate -expand -group MT_PROFIP_TRANSLATOR -color Green /main/DUT/cmp_mt_profip_translator/s_rd_state
......@@ -67,9 +67,8 @@ add wave -noupdate -expand -group SPI_SIGNALS -color Green /main/DUT/cmp_mt_prof
add wave -noupdate -expand -group SPI_SIGNALS -color Green /main/DUT/cmp_mt_profip_translator/s_shift_en
add wave -noupdate -expand -group SPI_SIGNALS -color Green /main/DUT/cmp_mt_profip_translator/s_data_reg_o
add wave -noupdate -expand -group SPI_SIGNALS -color Green /main/DUT/cmp_mt_profip_translator/s_data_reg_i
add wave -noupdate -expand -group SPI_SIGNALS -radix unsigned -color Green /main/DUT/cmp_mt_profip_translator/s_mosi_cnt
add wave -noupdate -expand -group SPI_SIGNALS -radix unsigned -color Green /main/DUT/cmp_mt_profip_translator/s_miso_cnt
add wave -noupdate -expand -group SPI_SIGNALS -color Green /main/DUT/cmp_mt_profip_translator/s_rmq_id
add wave -noupdate -expand -group SPI_SIGNALS -color Green -unsigned /main/DUT/cmp_mt_profip_translator/s_mosi_cnt
add wave -noupdate -expand -group SPI_SIGNALS -color Green -unsigned /main/DUT/cmp_mt_profip_translator/s_miso_cnt
# FIFOS
add wave -noupdate -divider MOSI_FIFO
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment