Commit 7185c0b6 authored by Alén Arias Vázquez's avatar Alén Arias Vázquez 😎

added WAVE do for test the bridge

parent c54d8bcc
This diff is collapsed.
......@@ -470,7 +470,7 @@ begin
-- MASTERFIP TRANSLATOR --
---------------------------------------------------------------------------------------------------
cmp_mt_profip_translator : entity work.spi_rmq_bridge
cmp_spi_rmq_bridge : entity work.spi_rmq_bridge
generic map(
g_data_width => 32,
g_cpol => 0,
......@@ -492,7 +492,8 @@ begin
spi_clk_i => ertec_spi_clk_i,
spi_cs_n_i => ertec_spi_cs_n_i,
spi_mosi_i => ertec_spi_mosi_i,
spi_miso_o => ertec_spi_miso_o);
spi_miso_o => ertec_spi_miso_o
);
-- Assigning the RMQ signals
p_rmq_assign : process(rmq_endpoint_out, rmq_snk_out, rmq_src_out)
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment