Commit 6ac8bf43 authored by kblantos's avatar kblantos

New approach for mt_profip_translator. Everything in one module. Simulation fine. HW test needed

parent 340c2a13
This diff is collapsed.
......@@ -27,6 +27,8 @@ library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.gencores_pkg.all;
--=============================================================================
-- Entity declaration for spi_slave --
--=============================================================================
......@@ -64,18 +66,6 @@ end entity spi_slave;
architecture rtl of spi_slave is
-- Returns log of 2 of a natural number
function f_log2_ceil(N : natural) return positive is
begin
if N <= 2 then
return 1;
elsif N mod 2 = 0 then
return 1 + f_log2_ceil(N/2);
else
return 1 + f_log2_ceil((N+1)/2);
end if;
end;
--! Reset
signal s_rst_n : std_logic;
......@@ -184,7 +174,7 @@ begin
end if;
end process p_MOSI;
data_o <= data_reg_o;
data_o <= data_reg_o;
data_valid_o <= s_data_valid;
operation_o <= data_reg_o(0) when mosi_cnt = 8 else '0';
......
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment