Commit 2bdb5c09 authored by Matthieu Cattin's avatar Matthieu Cattin

hdlmake: add Manifest files.

parent f31ebf0d
files = [
"carrier_info.vhd",
"data_engine.vhd",
"decr_counter.vhd",
"fmc_masterFIP_core.vhd",
"fmc_masterFIP_mezzanine.vhd",
"free_counter.vhd",
"incr_counter.vhd",
"irq_generator.vhd",
"leds_manager.vhd",
"masterFIP_pkg.vhd",
"reg_ctrl.vhd",
"tdc_eic.vhd",
"wf_cons_bytes_processor.vhd",
"wf_cons_outcome.vhd",
"wf_crc.vhd",
"wf_decr_counter.vhd",
"wf_fd_receiver.vhd",
"wf_fd_transmitter.vhd",
"wf_incr_counter.vhd",
"wf_prod_bytes_retriever.vhd",
"wf_prod_data_lgth_calc.vhd",
"wf_rx_deglitcher.vhd",
"wf_rx_deserializer.vhd",
"wf_rx_osc.vhd",
"wf_tx_osc.vhd",
"wf_tx_serializer.vhd"]
target = "xilinx"
action = "synthesis"
syn_device = "xc6slx45t"
syn_grade = "-3"
syn_package = "fgg484"
syn_top = "spec_masterFIP"
syn_project = "spec_masterFIP.xise"
syn_tool = "ise"
modules = { "local" : ["../../../rtl",
"../../../top/spec/no_wrabbit"],
"git" : ["git://ohwr.org/hdl-core-lib/general-cores.git::master",
"git://ohwr.org/hdl-core-lib/gn4124-core.git::master"]}
fetchto="../../../ip_cores"
files = [
"spec_masterFIP.vhd",
"spec_masterFIP.ucf",
"spec_reset_gen.vhd",
"synthesis_descriptor.vhd"]
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment