Skip to content

VUnit support for hdl-make, Improvements on Quartus synthesis setting file generation

David Belohrad requested to merge develop-david into develop

-fully supports VUnit simulation style.

  • updates quartus to support additional parameters to store into resulting QSF. In particular support for '-rise', '-fall' in global params settings,

  • repairs few english typos

  • updates the altera system libraries list to fully support Arria V libnames

  • hdlmake implements function to return complete list of files loaded from the manifest. This is used in conjunction with VUnit to get list of files used for simulation.

Edited by Tom Levens

Merge request reports