Commit 0baec4c9 authored by Tristan Gingold's avatar Tristan Gingold Committed by Maciej Lipinski

diot_sys_top: set additional constraint

parent 3385f559
......@@ -566,3 +566,4 @@ set_property LOC BITSLICE_CONTROL_X0Y35 [get_cells blk_clock.gen_idelayctrl[41].
set_property LOC PLL_X1Y3 [get_cells blk_clock.inst_PLLE4_BASE]
set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_nets fpga_pl_clkref_bufg]
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment