Commit 5ed97f2f authored by David Cussans's avatar David Cussans

Fixed syntax error

parent 357230c5
......@@ -167,7 +167,7 @@ begin
clk_4x_i => clk_4x_i,
clk_4x_strobe_i => clk_4x_strobe_i,
-- pulse_i => s_run_active,
pulse_i => s_T0_ipbus
pulse_i => s_T0_ipbus,
pulse_o => T0_o);
END rtl;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment