Commit 60cc9054 authored by David Cussans's avatar David Cussans Committed by Juan David Gonzalez Cobas

Changing R53 ( termination resistor for data from CDR ) from XX to 100-Ohms

Changing R94 ( pull-up resistor for clock to DFF ) from 0 to XX
Exported to fmc_tlu_v1f_36.brd
parent 33d4f25c
{ Machine generated file created by SPI }
{ Last modified was 15:56:11 Wednesday, June 10, 2020 }
{ Last modified was 14:14:25 Wednesday, September 02, 2020 }
{ NOTE: Do not modify the contents of this file. If this is regenerated by }
{ SPI, your modifications will be overwritten. }
......@@ -61,14 +61,14 @@ import_constraints_only_feedback 'OFF'
END_PKGRXL
START_DESIGNSYNC
replace_symbol '1'
replace_symbol '0'
etch_removal 'NO'
ignore_fixed 'NO'
create_user_prop 'NO'
run_packager 'YES'
run_netrev 'YES'
backannotate_forward 'NO'
last_board_file 'fmc_tlu_v1f_20.brd'
last_board_file 'fmc_tlu_v1f_36.brd'
run_feedback 'YES'
run_genfeedformat 'YES'
backannotate_feedback 'YES'
......
......@@ -110,3 +110,7 @@
2019-11-13T11:16:42 ===> "P:/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstxnet.dat"
2019-11-13T11:16:42 ===> "P:/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstxprt.dat"
2019-11-13T11:16:42 ===> "P:/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstdmlmodels.dat"
2020-09-02T14:13:22 ===> "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstchip.dat"
2020-09-02T14:13:22 ===> "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstxnet.dat"
2020-09-02T14:13:22 ===> "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstxprt.dat"
2020-09-02T14:13:22 ===> "/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstdmlmodels.dat"
{ Packager-XL run on 13-Nov-2019 AT 11:16:10 }
{ Packager-XL run on 02-Sep-2020 AT 14:13:12 }
FILE_TYPE = BACK_ANNOTATION;
DRAWING = "@fmc_tlu_v1_lib.fmc_tlu_toplevel_f(sch_1):page1";
BODY = "24AA025E48","I8": LOCATION = "IC5" #&CDS_LOCATION = "IC5" &SEC = "1" #&CDS_SEC = "1";
......
FILE_TYPE = LIBRARY_PARTS;
{ Packager-XL run on 13-Nov-2019 AT 11:16:10 }
{ Packager-XL run on 02-Sep-2020 AT 14:13:12 }
primitive '1-HOLE_0-8-BASE';
pin
'A'<0>:
......
FILE_TYPE=PINLIST;
{ Packager-XL run on 13-Nov-2019 AT 11:16:10 }
TIME=' COMPILATION ON 13-Nov-2019 AT 11:16:10';
{ Packager-XL run on 02-Sep-2020 AT 14:13:12 }
TIME=' COMPILATION ON 02-Sep-2020 AT 14:13:12';
primitive '1-HOLE_0-8-BASE';body '1-HOLE';
'A'<0>:'(1)';IN;
end_primitive;
......
......@@ -59,15 +59,15 @@ PCA9517DGKR-GND=GND_SIGNAL PCA9517DGKR 1
PCA9539PW-VDD=P3V3,VSS=GND_SIGA PCA9539PW 2
PCOAX-PLEMO00C-GND=GND_SIGNAL EPK.00.250.NTN 6
PLEMO2CI-EPG.00.302.NLN-GND=GNA EPG.00.302.NLN 1
RSMD0402_0.0625W-XX,1% R0402_XX_1%_0.063W 3
RSMD0402_1/16W-0R0,1% R0402_0R_1%_0.063W_100PPM 11
RSMD0402_0.0625W-XX,1% R0402_XX_1%_0.063W 4
RSMD0402_1/16W-0R0,1% R0402_0R_1%_0.063W_100PPM 10
RSMD0402_1/16W-100,1% R0402_100R_1%_0.063W_200PPM 6
RSMD0402_1/16W-1K,1% R0402_1K_1%_0.063W_100PPM 11
RSMD0402_1/16W-2.2K,1% R0402_2K2_1%_0.063W_200PPM 4
RSMD0402_1/16W-2K,1% R0402_2K_1%_0.063W_100PPM 2
RSMD0402_1/16W-47,1% R0402_47R_1%_0.063W_200PPM 42
RSMD0603_-00, R0603_00_JUMPER 19
RSMD0603_1/10W-100,1% R0603_100R_1%_0.1W_100PPM 10
RSMD0603_1/10W-100,1% R0603_100R_1%_0.1W_100PPM 11
RSMD0603_1/10W-10K,1% R0603_10K_1%_0.1W_100PPM 12
RSMD0603_1/10W-150,1% R0603_150R_1%_0.1W_100PPM 2
RSMD0603_1/10W-1K,1% R0603_1K_1%_0.1W_100PPM 2
......@@ -78,7 +78,7 @@ RSMD0603_1/10W-51,1% R0603_51R_1%_0.1W_100PPM 8
RSMD0603_1/10W-6.19K,1% R0603_6K19_1%_0.1W_100PPM 2
RSMD0603_1/10W-75,1% R0603_75R_1%_0.1W_100PPM 12
RSMD0603_1/10W-82,1% R0603_82R_1%_0.1W_100PPM 3
RSMD0603_1/10W-XX,1% R0603_XX_1%_0.1W_100PPM 14
RSMD0603_1/10W-XX,1% R0603_XX_1%_0.1W_100PPM 13
RSMD0603_1/16W-2K,1% R0603_2K_1%_0.063W_100PPM 1
RSMD0603_1/16W-68,1% R0603_68R_1%_0.063W_100PPM 12
RSMD0805_125MW-100,1% R0805_100R_1%_0.125W_100PPM 12
......
FILE_TYPE = EXPANDEDNETLIST;
{ Packager-XL run on 13-Nov-2019 AT 11:16:10 CONSTRAINTS_VIEW_GENERATED}
{ Packager-XL run on 02-Sep-2020 AT 14:13:12 CONSTRAINTS_VIEW_GENERATED}
NET_NAME
'BEAM_TRIGGER_N<0>'
'@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):BEAM_TRIGGER_N'<0>:
......
This source diff could not be displayed because it is too large. You can view the blob instead.
LOGICAL PART CROSS REFERENCE - 13-Nov-2019 AT 11:16:10
LOGICAL PART CROSS REFERENCE - 02-Sep-2020 AT 14:13:12
DRAWING: @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE1
......@@ -570,7 +570,7 @@ CAPCERSMDCL2_0402-1NF_X7R,50V I88 C30
1 GND_SIGNAL A<0> @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):GND_SIGNAL
2 P3V3 B<0> @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):P3V3
RSMD0603_1/10W-XX,1% I90 R53
RSMD0603_1/10W-100,1% I90 R53
1 DATA_FROM_CDR_P A<0> @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):DATA_FROM_CDR_P
2 DATA_FROM_CDR_N B<0> @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):DATA_FROM_CDR_N
......@@ -622,7 +622,7 @@ RSMD0402_1/16W-2.2K,1% I111 R74
1 GND_SIGNAL A<0> @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):GND_SIGNAL
2 DATA_TO_FFD_P B<0> @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):DATA_TO_FFD_P
RSMD0402_1/16W-0R0,1% I112 R94
RSMD0402_0.0625W-XX,1% I112 R94
1 UNNAMED_7_NBSG53AMOD_I2_VTCLK A<0> @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):UNNAMED_7_NBSG53AMOD_I2_VTCLK
2 P3V3 B<0> @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):P3V3
......@@ -3539,7 +3539,7 @@ CAPCERSMDCL2_0402-10NF,16V_GEN I141 C63
2 P3V3 B<0> @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):P3V3
END LOGICAL PART CROSS REFERENCE
GLOBAL SIGNAL CROSS REFERENCE - 13-Nov-2019 AT 11:16:10
GLOBAL SIGNAL CROSS REFERENCE - 02-Sep-2020 AT 14:13:12
BEAM_TRIGGER_N<0> @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):BEAM_TRIGGER_N(0)
J4 H38 H<38> ASP-134606-01 I1 @FMC_TLU_V1_LIB.PC036A_FMC_LPC_CONNECTOR(SCH_1):PAGE1
TP6_7 1 A<0> TP_HOLE-0.8MM I179 @FMC_TLU_V1_LIB.FMC_TLU_THRESHOLD_DISCRIMINATOR_DUAL(SCH_1):PAGE1
......@@ -3940,12 +3940,12 @@ CONT_TO_FPGA<3> @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):CONT_TO_FPGA(3)
DATA_FROM_CDR_N @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):DATA_FROM_CDR_N
J4 C26 C<26> ASP-134606-01 I2 @FMC_TLU_V1_LIB.PC036A_FMC_LPC_CONNECTOR(SCH_1):PAGE1
IC8 28 DATAOUTN ADN2814ACPZ-VCC=P3V3,VEE=GND_SA I3 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
R53 2 B<0> RSMD0603_1/10W-XX,1% I90 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
R53 2 B<0> RSMD0603_1/10W-100,1% I90 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
DATA_FROM_CDR_P @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):DATA_FROM_CDR_P
J4 C27 C<27> ASP-134606-01 I2 @FMC_TLU_V1_LIB.PC036A_FMC_LPC_CONNECTOR(SCH_1):PAGE1
IC8 29 DATAOUTP ADN2814ACPZ-VCC=P3V3,VEE=GND_SA I3 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
R53 1 A<0> RSMD0603_1/10W-XX,1% I90 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
R53 1 A<0> RSMD0603_1/10W-100,1% I90 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
DATA_TO_FFD_N @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):DATA_TO_FFD_N
J4 D24 D<24> ASP-134606-01 I2 @FMC_TLU_V1_LIB.PC036A_FMC_LPC_CONNECTOR(SCH_1):PAGE1
......@@ -5116,7 +5116,7 @@ P3V3 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):P3V3
R55 1 A<0> RSMD0402_1/16W-0R0,1% I36 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE8
J1 1 A<0> CON16P-MTLW-108-07-L-D-250 I146 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE1
J1 2 A<1> CON16P-MTLW-108-07-L-D-250 I146 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE1
R94 2 B<0> RSMD0402_1/16W-0R0,1% I112 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
R94 2 B<0> RSMD0402_0.0625W-XX,1% I112 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
R95 2 B<0> RSMD0402_1/16W-0R0,1% I113 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
R99 1 A<0> RSMD0603_1/10W-XX,1% I119 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
C60 2 B<0> CAPCERSMDCL2_0402-100NF,16V_GEN I127 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
......@@ -6238,7 +6238,7 @@ UNNAMED_7_NBSG53AMOD_I2_SEL @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):UNNAMED_7_
UNNAMED_7_NBSG53AMOD_I2_VTCLK @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):UNNAMED_7_NBSG53AMOD_I2_VTCLK
IC9 1 VTCLK* NBSG53AMNGMOD-(),(GND_SIGNAL:1A I2 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
R43 2 B<0> RSMD0402_1/16W-0R0,1% I59 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
R94 1 A<0> RSMD0402_1/16W-0R0,1% I112 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
R94 1 A<0> RSMD0402_0.0625W-XX,1% I112 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
UNNAMED_7_NBSG53AMOD_I2_VTCLK_1 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):UNNAMED_7_NBSG53AMOD_I2_VTCLK_1
IC9 4 VTCLK NBSG53AMNGMOD-(),(GND_SIGNAL:1A I2 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
......@@ -6526,7 +6526,7 @@ XB_9 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_C
R9_9 2 B<0> RSMD0402_1/16W-100,1% I48 @FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3
END GLOBAL SIGNAL CROSS REFERENCE
GLOBAL PART CROSS REFERENCE - 13-Nov-2019 AT 11:16:10
GLOBAL PART CROSS REFERENCE - 02-Sep-2020 AT 14:13:12
C1 CAPCERSMDCL2_0603-1UF,16V
1 GND_SIGNAL @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):GND_SIGNAL I73 @FMC_TLU_V1_LIB.FMC_TLU_DAC_VTHRESH(SCH_1):PAGE1
......@@ -9203,7 +9203,7 @@ R26_9 RSMD0402_1/16W-100,1%
1 GND_SIGNAL @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):GND_SIGNAL I41 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE8
2 UNNAMED_8_74AVC2T45_I20_A2 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):UNNAMED_8_74AVC2T45_I20_A2 I41 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE8
R53 RSMD0603_1/10W-XX,1%
R53 RSMD0603_1/10W-100,1%
1 DATA_FROM_CDR_P @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):DATA_FROM_CDR_P I90 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
2 DATA_FROM_CDR_N @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):DATA_FROM_CDR_N I90 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
......@@ -9495,7 +9495,7 @@ R91_4 RSMD0402_1/16W-47,1%
1 UNNAMED_3_COMMONMODELINEFILTE_9 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):UNNAMED_3_COMMONMODELINEFILTER_I14_1 I136 @FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3
2 UNNAMED_3_CAPCERSMDCL2_I11_A_9 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):UNNAMED_3_CAPCERSMDCL2_I11_A I136 @FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3
R94 RSMD0402_1/16W-0R0,1%
R94 RSMD0402_0.0625W-XX,1%
1 UNNAMED_7_NBSG53AMOD_I2_VTCLK @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):UNNAMED_7_NBSG53AMOD_I2_VTCLK I112 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
2 P3V3 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):P3V3 I112 @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7
......
{ Packager-XL run on 13-Nov-2019 AT 11:16:26.00 }
{ Packager-XL run on 02-Sep-2020 AT 14:13:16.00 }
BINDING CHANGES LIST
DELETED BINDINGS:
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I1@CNINTERFACE.SI5345(CHIPS) (0) WAS ASSIGNED TO IC8_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I81@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN1_1 SECTION WITH PIN 4
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I82@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN1_1 SECTION WITH PIN 3
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I83@CNPASSIVE.CAPN4I(CHIPS) (0) WAS ASSIGNED TO CN1_1 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I110@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN2_1 SECTION WITH PIN 3
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I111@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN2_1 SECTION WITH PIN 4
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I112@CNPASSIVE.CAPN4I(CHIPS) (0) WAS ASSIGNED TO CN1_1 SECTION WITH PIN 7
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I122@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN1_1 SECTION WITH PIN 2
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN1_1 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I124@CNPASSIVE.CAPN4I(CHIPS) (0) WAS ASSIGNED TO CN1_1 SECTION WITH PIN 3
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I134@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN2_1 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I135@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN2_1 SECTION WITH PIN 2
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I136@CNPASSIVE.CAPN4I(CHIPS) (0) WAS ASSIGNED TO CN1_1 SECTION WITH PIN 5
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I78@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP_B(SCH_1):PAGE1_I55@CNDISCRETE.PRTR5V0U4Y(CHIPS) (0) WAS ASSIGNED TO D1_1 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I78@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP_B(SCH_1):PAGE1_I56@CNDISCRETE.PRTR5V0U4Y(CHIPS) (0) WAS ASSIGNED TO D2_1 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I81@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN1_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I82@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN1_9 SECTION WITH PIN 2
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I83@CNPASSIVE.CAPN4I(CHIPS) (0) WAS ASSIGNED TO CN1_9 SECTION WITH PIN 3
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I81@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I82@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I83@CNPASSIVE.CAPN4I(CHIPS) (0) WAS ASSIGNED TO CN1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I110@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN2_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I111@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN2_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I112@CNPASSIVE.CAPN4I(CHIPS) (0) WAS ASSIGNED TO CN1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I122@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I124@CNPASSIVE.CAPN4I(CHIPS) (0) WAS ASSIGNED TO CN1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I134@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN2_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I135@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN2_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I136@CNPASSIVE.CAPN4I(CHIPS) (0) WAS ASSIGNED TO CN1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I78@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP_B(SCH_1):PAGE1_I55@CNDISCRETE.PRTR5V0U4Y(CHIPS) (0) WAS ASSIGNED TO D1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I78@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP_B(SCH_1):PAGE1_I56@CNDISCRETE.PRTR5V0U4Y(CHIPS) (0) WAS ASSIGNED TO D2_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I81@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN1_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I82@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN1_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I83@CNPASSIVE.CAPN4I(CHIPS) (0) WAS ASSIGNED TO CN1_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I106@CNPASSIVE.RSMD0603(CHIPS) (0) WAS ASSIGNED TO R1_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I188@CNPASSIVE.RSMD0603(CHIPS) (0) WAS ASSIGNED TO R3_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I190@CNPASSIVE.CAPN4I(CHIPS) (0) WAS ASSIGNED TO CN1_9 SECTION WITH PIN 5
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I191@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN2_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I192@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN2_9 SECTION WITH PIN 2
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I205@CNPASSIVE.CAPN4I(CHIPS) (0) WAS ASSIGNED TO CN1_9 SECTION WITH PIN 7
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I206@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN2_9 SECTION WITH PIN 4
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I190@CNPASSIVE.CAPN4I(CHIPS) (0) WAS ASSIGNED TO CN1_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I191@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN2_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I192@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN2_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I205@CNPASSIVE.CAPN4I(CHIPS) (0) WAS ASSIGNED TO CN1_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I206@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN2_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I207@CNPASSIVE.RSMD0603(CHIPS) (0) WAS ASSIGNED TO R4_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I209@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN2_9 SECTION WITH PIN 3
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I209@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN2_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I221@CNPASSIVE.RSMD0603(CHIPS) (0) WAS ASSIGNED TO R2_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I224@CNPASSIVE.CAPN4I(CHIPS) (0) WAS ASSIGNED TO CN1_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I225@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN1_9 SECTION WITH PIN 3
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I226@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN1_9 SECTION WITH PIN 4
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I224@CNPASSIVE.CAPN4I(CHIPS) (0) WAS ASSIGNED TO CN1_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I225@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN1_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I226@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN1_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I1@CNINTERFACE.SI5345(CHIPS) (0) WAS ASSIGNED TO IC8_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I11@CNPASSIVE.CAPN4I(CHIPS) (0) WAS ASSIGNED TO CN2_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I37@CNDISCRETE.OSC_6P_ENDIS_OUTP_OUTN(CHIPS) (0) WAS ASSIGNED TO QZ1_9 SECTION WITH PIN 1
......@@ -51,10 +50,12 @@ DELETED BINDINGS:
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I68@CNPASSIVE.RSMD0603(CHIPS) (0) WAS ASSIGNED TO R18_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I69@CNPASSIVE.RSMD0603(CHIPS) (0) WAS ASSIGNED TO R16_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I116@CNPASSIVE.RSMD0603(CHIPS) (0) WAS ASSIGNED TO R26_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (3) WAS ASSIGNED TO RN3_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (2) WAS ASSIGNED TO RN3_9 SECTION WITH PIN 2
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (1) WAS ASSIGNED TO RN3_9 SECTION WITH PIN 3
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN3_9 SECTION WITH PIN 4
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (3) WAS ASSIGNED TO RN3_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (2) WAS ASSIGNED TO RN3_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (1) WAS ASSIGNED TO RN3_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) WAS ASSIGNED TO RN3_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7_I90@CNPASSIVE.RSMD0603(CHIPS) (0) WAS ASSIGNED TO R53 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7_I112@CNPASSIVE.RSMD0402(CHIPS) (0) WAS ASSIGNED TO R94 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I88@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) WAS ASSIGNED TO C7_1 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I90@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) WAS ASSIGNED TO C8_1 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I91@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) WAS ASSIGNED TO C6_1 SECTION WITH PIN 1
......@@ -108,8 +109,6 @@ DELETED BINDINGS:
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I239@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) WAS ASSIGNED TO C29_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I240@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) WAS ASSIGNED TO C28_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I241@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) WAS ASSIGNED TO C27_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I11@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) WAS ASSIGNED TO CN2_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I37@BRIS_CDS_LOGIC.OSC_6P_EN_DIFFOUT(CHIPS) (0) WAS ASSIGNED TO QZ1_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I44@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) WAS ASSIGNED TO C20_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I45@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) WAS ASSIGNED TO C18_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I48@CNPASSIVE.RSMD0402(CHIPS) (0) WAS ASSIGNED TO R9_9 SECTION WITH PIN 1
......@@ -130,6 +129,8 @@ DELETED BINDINGS:
CHANGED BINDINGS:
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7_I90@CNPASSIVE.RSMD0603(CHIPS) RSMD0603_1/10W-100,1% (0) IS ASSIGNED TO R53 SECTION 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7_I112@CNPASSIVE.RSMD0402(CHIPS) RSMD0402_0.0625W-XX,1% (0) IS ASSIGNED TO R94 SECTION 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I88@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-100NF,16V_GEN (0) IS ASSIGNED TO C7_1 SECTION 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I90@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-4.7NF,50V_GEN (0) IS ASSIGNED TO C8_1 SECTION 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I91@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-4.7NF,50V_GEN (0) IS ASSIGNED TO C6_1 SECTION 1
......@@ -183,9 +184,6 @@ CHANGED BINDINGS:
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I239@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-100NF,10V (0) IS ASSIGNED TO C29_9 SECTION 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I240@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-100NF,10V (0) IS ASSIGNED TO C28_9 SECTION 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I241@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-100NF,10V (0) IS ASSIGNED TO C27_9 SECTION 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I1@BRIS_CDS_SPECIAL.SI5395(CHIPS) SI5395A-A-GM-GND=GND_SIGNAL,VDA (0) IS ASSIGNED TO IC8_9 SECTION 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I11@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-1UF,10V (0) IS ASSIGNED TO CN2_9 SECTION 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I37@BRIS_CDS_LOGIC.OSC_6P_EN_DIFFOUT(CHIPS) BF-50.000MBE-T-GND=GND_SIGNAL,A (0) IS ASSIGNED TO QZ1_9 SECTION 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I44@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-100NF,10V (0) IS ASSIGNED TO C20_9 SECTION 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I45@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-100NF,10V (0) IS ASSIGNED TO C18_9 SECTION 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I48@CNPASSIVE.RSMD0402(CHIPS) RSMD0402_1/16W-100,1% (0) IS ASSIGNED TO R9_9 SECTION 1
......@@ -211,37 +209,36 @@ LOGICAL CHANGES LIST
LOGICAL PARTS DELETED FROM DESIGN:
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I1@CNINTERFACE.SI5345(CHIPS) (0) IC8_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I81@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN1_1 SECTION WITH PIN 4
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I82@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN1_1 SECTION WITH PIN 3
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I83@CNPASSIVE.CAPN4I(CHIPS) (0) CN1_1 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I110@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN2_1 SECTION WITH PIN 3
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I111@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN2_1 SECTION WITH PIN 4
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I112@CNPASSIVE.CAPN4I(CHIPS) (0) CN1_1 SECTION WITH PIN 7
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I122@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN1_1 SECTION WITH PIN 2
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN1_1 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I124@CNPASSIVE.CAPN4I(CHIPS) (0) CN1_1 SECTION WITH PIN 3
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I134@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN2_1 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I135@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN2_1 SECTION WITH PIN 2
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I136@CNPASSIVE.CAPN4I(CHIPS) (0) CN1_1 SECTION WITH PIN 5
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I78@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP_B(SCH_1):PAGE1_I55@CNDISCRETE.PRTR5V0U4Y(CHIPS) (0) D1_1 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I78@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP_B(SCH_1):PAGE1_I56@CNDISCRETE.PRTR5V0U4Y(CHIPS) (0) D2_1 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I81@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN1_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I82@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN1_9 SECTION WITH PIN 2
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I83@CNPASSIVE.CAPN4I(CHIPS) (0) CN1_9 SECTION WITH PIN 3
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I81@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I82@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I83@CNPASSIVE.CAPN4I(CHIPS) (0) CN1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I110@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN2_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I111@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN2_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I112@CNPASSIVE.CAPN4I(CHIPS) (0) CN1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I122@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I124@CNPASSIVE.CAPN4I(CHIPS) (0) CN1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I134@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN2_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I135@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN2_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I136@CNPASSIVE.CAPN4I(CHIPS) (0) CN1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I78@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP_B(SCH_1):PAGE1_I55@CNDISCRETE.PRTR5V0U4Y(CHIPS) (0) D1_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I78@FMC_TLU_V1_LIB.FMC_TLU_DIODE_CLAMP_B(SCH_1):PAGE1_I56@CNDISCRETE.PRTR5V0U4Y(CHIPS) (0) D2_1 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I81@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN1_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I82@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN1_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I83@CNPASSIVE.CAPN4I(CHIPS) (0) CN1_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I106@CNPASSIVE.RSMD0603(CHIPS) (0) R1_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I188@CNPASSIVE.RSMD0603(CHIPS) (0) R3_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I190@CNPASSIVE.CAPN4I(CHIPS) (0) CN1_9 SECTION WITH PIN 5
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I191@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN2_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I192@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN2_9 SECTION WITH PIN 2
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I205@CNPASSIVE.CAPN4I(CHIPS) (0) CN1_9 SECTION WITH PIN 7
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I206@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN2_9 SECTION WITH PIN 4
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I190@CNPASSIVE.CAPN4I(CHIPS) (0) CN1_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I191@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN2_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I192@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN2_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I205@CNPASSIVE.CAPN4I(CHIPS) (0) CN1_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I206@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN2_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I207@CNPASSIVE.RSMD0603(CHIPS) (0) R4_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I209@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN2_9 SECTION WITH PIN 3
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I209@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN2_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I221@CNPASSIVE.RSMD0603(CHIPS) (0) R2_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I224@CNPASSIVE.CAPN4I(CHIPS) (0) CN1_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I225@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN1_9 SECTION WITH PIN 3
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I226@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN1_9 SECTION WITH PIN 4
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I224@CNPASSIVE.CAPN4I(CHIPS) (0) CN1_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I225@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN1_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I226@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN1_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I1@CNINTERFACE.SI5345(CHIPS) (0) IC8_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I11@CNPASSIVE.CAPN4I(CHIPS) (0) CN2_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I37@CNDISCRETE.OSC_6P_ENDIS_OUTP_OUTN(CHIPS) (0) QZ1_9 SECTION WITH PIN 1
......@@ -258,10 +255,12 @@ LOGICAL PARTS DELETED FROM DESIGN:
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I68@CNPASSIVE.RSMD0603(CHIPS) (0) R18_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I69@CNPASSIVE.RSMD0603(CHIPS) (0) R16_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I116@CNPASSIVE.RSMD0603(CHIPS) (0) R26_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (3) RN3_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (2) RN3_9 SECTION WITH PIN 2
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (1) RN3_9 SECTION WITH PIN 3
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN3_9 SECTION WITH PIN 4
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (3) RN3_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (2) RN3_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (1) RN3_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I123@CNPASSIVE.RES_ARRAY_X4(CHIPS) (0) RN3_9 SECTION WITH PIN UNKNOWN
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7_I90@CNPASSIVE.RSMD0603(CHIPS) (0) R53 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7_I112@CNPASSIVE.RSMD0402(CHIPS) (0) R94 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I88@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) C7_1 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I90@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) C8_1 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I91@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) C6_1 SECTION WITH PIN 1
......@@ -315,8 +314,6 @@ LOGICAL PARTS DELETED FROM DESIGN:
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I239@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) C29_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I240@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) C28_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I241@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) C27_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I11@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) CN2_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I37@BRIS_CDS_LOGIC.OSC_6P_EN_DIFFOUT(CHIPS) (0) QZ1_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I44@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) C20_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I45@CNPASSIVE.CAPCERSMDCL2(CHIPS) (0) C18_9 SECTION WITH PIN 1
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I48@CNPASSIVE.RSMD0402(CHIPS) (0) R9_9 SECTION WITH PIN 1
......@@ -337,6 +334,8 @@ LOGICAL PARTS DELETED FROM DESIGN:
LOGICAL PARTS ADDED TO DESIGN:
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7_I90@CNPASSIVE.RSMD0603(CHIPS) RSMD0603_1/10W-100,1% (0)
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE7_I112@CNPASSIVE.RSMD0402(CHIPS) RSMD0402_0.0625W-XX,1% (0)
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I88@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-100NF,16V_GEN (0)
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I90@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-4.7NF,50V_GEN (0)
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE4_I1@FMC_TLU_V1_LIB.FMC_TLU_HDMI_DUT_CONNECTOR(SCH_1):PAGE1_I91@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-4.7NF,50V_GEN (0)
......@@ -390,9 +389,6 @@ LOGICAL PARTS ADDED TO DESIGN:
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I239@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-100NF,10V (0)
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I240@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-100NF,10V (0)
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I241@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-100NF,10V (0)
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I1@BRIS_CDS_SPECIAL.SI5395(CHIPS) SI5395A-A-GM-GND=GND_SIGNAL,VDA (0)
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I11@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-1UF,10V (0)
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I37@BRIS_CDS_LOGIC.OSC_6P_EN_DIFFOUT(CHIPS) BF-50.000MBE-T-GND=GND_SIGNAL,A (0)
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I44@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-100NF,10V (0)
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I45@CNPASSIVE.CAPCERSMDCL2(CHIPS) CAPCERSMDCL2_0402-100NF,10V (0)
@FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I48@CNPASSIVE.RSMD0402(CHIPS) RSMD0402_1/16W-100,1% (0)
......@@ -418,6 +414,8 @@ PHYSICAL CHANGES LIST
PHYSICAL PARTS ADDED TO DESIGN:
R94 RSMD0402_0.0625W-XX,1%
R53 RSMD0603_1/10W-100,1%
C39_9 CAPCERSMDCL2_0402-100NF,10V
C38_9 CAPCERSMDCL2_0402-100NF,10V
R26_9 RSMD0402_1/16W-100,1%
......@@ -435,9 +433,6 @@ R14_9 RSMD0402_1/16W-1K,1%
R9_9 RSMD0402_1/16W-100,1%
C18_9 CAPCERSMDCL2_0402-100NF,10V
C20_9 CAPCERSMDCL2_0402-100NF,10V
QZ1_9 BF-50.000MBE-T-GND=GND_SIGNAL,A
CN2_9 CAPCERSMDCL2_0402-1UF,10V
IC8_9 SI5395A-A-GM-GND=GND_SIGNAL,VDA
C27_9 CAPCERSMDCL2_0402-100NF,10V
C28_9 CAPCERSMDCL2_0402-100NF,10V
C29_9 CAPCERSMDCL2_0402-100NF,10V
......@@ -494,13 +489,12 @@ C11_1 CAPCERSMDCL2_0402-100NF,10V
PHYSICAL PARTS DELETED FROM DESIGN:
R53 RSMD0603_1/10W-XX,1%
R94 RSMD0402_1/16W-0R0,1%
R1_9 RSMD0603_1/10W-100,1%
R3_9 RSMD0603_1/10W-100,1%
R4_9 RSMD0603_1/10W-100,1%
R2_9 RSMD0603_1/10W-100,1%
IC8_9 SI5345A-B-GM-GND=GND_SIGNAL,VDA
CN2_9 CAPN4I-1UF,16V,X5R,GNM21
QZ1_9 BF-100.000MBE-T-GND=GND_SIGNALA
R9_9 RSMD0603_1/10W-100,1%
R14_9 RSMD0603_1/10W-1K,1%
R13_9 RSMD0603_1/10W-1K,1%
......@@ -514,15 +508,6 @@ R23_9 RSMD0603_1/10W-1K,1%
R18_9 RSMD0603_1/10W-1K,1%
R16_9 RSMD0603_1/10W-1K,1%
R26_9 RSMD0603_1/10W-100,1%
RN1_1 RES_ARRAY_X4_1206_TC164-47,1%
CN1_1 CAPN4I-1UF,16V,X5R,GNM21
RN2_1 RES_ARRAY_X4_1206_TC164-47,1%
D1_1 PRTR5V0U4Y
D2_1 PRTR5V0U4Y
RN1_9 RES_ARRAY_X4_1206_TC164-47,1%
CN1_9 CAPN4I-1UF,16V,X5R,GNM21
RN2_9 RES_ARRAY_X4_1206_TC164-47,1%
RN3_9 RES_ARRAY_X4_1206_TC164-47,1%
END PHYSICAL CHANGES LIST
......
Log File: C:\Users\phpgb\AppData\Local\Temp\s34o.
Markers File: C:\Users\phpgb\AppData\Local\Temp\s34o.1
Debug File: C:\Users\phpgb\AppData\Local\Temp\s34o.3
Log File: /tmp/filernOugc
Markers File: /tmp/fileE0FwKZ
Debug File: /tmp/filep45kTA
Debug[0] := TRUE
Elapsed time since start = (00:00:00)
......@@ -13,8 +13,7 @@ Elapsed time since start = (00:00:00)
* Loading State Files *
*************************
INFO(SPCODD-95): State file primitive @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I1@CNINTERFACE.SI5345(CHIPS) has been deleted from the design.
Force load the state file for subdesign @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE2_I55@FMC_TLU_V1_LIB.FMC_TLU_VSUPPLY5V(SCH_1) of type FMC_TLU_VSUPPLY5V
Force load the state file for subdesign @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE2_I55@FMC_TLU_V1_LIB.FMC_TLU_VSUPPLY5V(SCH_1) of type FMC_TLU_VSUPPLY5V
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE2_I55@FMC_TLU_V1_LIB.FMC_TLU_VSUPPLY5V(SCH_1):PAGE1_I40@BRIS_CDS_SPECIAL.LT1175(CHIPS) changed from REG1_5:1 to REG1_5:1.
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE2_I55@FMC_TLU_V1_LIB.FMC_TLU_VSUPPLY5V(SCH_1):PAGE1_I42@CNDISCRETE.FERRITE(CHIPS) changed from L2_5:1 to L2_5:1.
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE2_I55@FMC_TLU_V1_LIB.FMC_TLU_VSUPPLY5V(SCH_1):PAGE1_I44@CNDISCRETE.FERRITE(CHIPS) changed from L3_5:1 to L3_5:1.
......@@ -447,10 +446,10 @@ Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I122@CNPASSIVE.CAPCERSMDCL2(CHIPS) changed from C39_9:1 to C39_9:1.
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I127@CNPASSIVE.CAPCERSMDCL2(CHIPS) changed from C41_9:1 to C41_9:1.
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I128@CNPASSIVE.CAPCERSMDCL2(CHIPS) changed from C40_9:1 to C40_9:1.
Elapsed time since start = (00:00:15)
Elapsed time since start = (00:00:03)
*****************************************
* End loading State Files (00:00:09) *
* End loading State Files (00:00:01) *
*****************************************
......@@ -458,7 +457,7 @@ Elapsed time since start = (00:00:15)
* Starting to assign physical parts. *
****************************************
Elapsed time since start = (00:00:15)
Elapsed time since start = (00:00:03)
***********************************************
* End assigning physical parts. (00:00:00) *
......@@ -958,10 +957,10 @@ Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU
* Packaging *
***************
Elapsed time since start = (00:00:15)
Elapsed time since start = (00:00:04)
*******************************
* End packaging (00:00:00) *
* End packaging (00:00:01) *
*******************************
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I128@CNPASSIVE.CAPCERSMDCL2(CHIPS) changed from C40_9:1 to C40_9:1.
......@@ -1455,3 +1454,5 @@ Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I128@CNPASSIVE.CAPCERSMDCL2(CHIPS) changed from C40_9:1 to C40_9:1.
DDB_INFO: State file for design FMC_TLU_TOPLEVEL_F successfully written.
DDB_INFO: Pst files for design FMC_TLU_TOPLEVEL_F successfully written.
system time 0
user time 2
Log File: C:\Users\phpgb\AppData\Local\Temp\s8c8.
Markers File: C:\Users\phpgb\AppData\Local\Temp\s8c8.1
Debug File: C:\Users\phpgb\AppData\Local\Temp\s8c8.3
Log File: C:\Users\phpgb\AppData\Local\Temp\s34o.
Markers File: C:\Users\phpgb\AppData\Local\Temp\s34o.1
Debug File: C:\Users\phpgb\AppData\Local\Temp\s34o.3
Debug[0] := TRUE
Elapsed time since start = (00:00:01)
Elapsed time since start = (00:00:00)
**************************************************************
* End processing project file and command line (00:00:00) *
......@@ -13,7 +13,8 @@ Elapsed time since start = (00:00:01)
* Loading State Files *
*************************
Force load the state file for subdesign @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE2_I55@FMC_TLU_V1_LIB.FMC_TLU_VSUPPLY5V(SCH_1) of type FMC_TLU_VSUPPLY5V
INFO(SPCODD-95): State file primitive @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I1@CNINTERFACE.SI5345(CHIPS) has been deleted from the design.
Force load the state file for subdesign @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE2_I55@FMC_TLU_V1_LIB.FMC_TLU_VSUPPLY5V(SCH_1) of type FMC_TLU_VSUPPLY5V
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE2_I55@FMC_TLU_V1_LIB.FMC_TLU_VSUPPLY5V(SCH_1):PAGE1_I40@BRIS_CDS_SPECIAL.LT1175(CHIPS) changed from REG1_5:1 to REG1_5:1.
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE2_I55@FMC_TLU_V1_LIB.FMC_TLU_VSUPPLY5V(SCH_1):PAGE1_I42@CNDISCRETE.FERRITE(CHIPS) changed from L2_5:1 to L2_5:1.
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE2_I55@FMC_TLU_V1_LIB.FMC_TLU_VSUPPLY5V(SCH_1):PAGE1_I44@CNDISCRETE.FERRITE(CHIPS) changed from L3_5:1 to L3_5:1.
......@@ -400,7 +401,6 @@ Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I246@CNPASSIVE.CAPCERSMDCL2(CHIPS) changed from C33_9:1 to C33_9:1.
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I247@CNPASSIVE.CAPCERSMDCL2(CHIPS) changed from C32_9:1 to C32_9:1.
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE1_I248@CNPASSIVE.CAPCERSMDCL2(CHIPS) changed from C31_9:1 to C31_9:1.
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I1@CNINTERFACE.SI5345(CHIPS) changed from IC8_9:1 to IC8_9:1.
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I4@CNPASSIVE.CAPCERSMDCL2(CHIPS) changed from C1_9:1 to C1_9:1.
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I6@CNDISCRETE.USBLC6-2(CHIPS) changed from D3_9:1 to D3_9:1.
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I7@CNCONNECTOR.PLEMO2CI(CHIPS) changed from LM1_9:1 to LM1_9:1.
......@@ -447,10 +447,10 @@ Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I122@CNPASSIVE.CAPCERSMDCL2(CHIPS) changed from C39_9:1 to C39_9:1.
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I127@CNPASSIVE.CAPCERSMDCL2(CHIPS) changed from C41_9:1 to C41_9:1.
Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU_V1_LIB.FMC_TLU_CLOCK_GEN(SCH_1):PAGE3_I128@CNPASSIVE.CAPCERSMDCL2(CHIPS) changed from C40_9:1 to C40_9:1.
Elapsed time since start = (00:00:12)
Elapsed time since start = (00:00:15)
*****************************************
* End loading State Files (00:00:04) *
* End loading State Files (00:00:09) *
*****************************************
......@@ -458,7 +458,7 @@ Elapsed time since start = (00:00:12)
* Starting to assign physical parts. *
****************************************
Elapsed time since start = (00:00:12)
Elapsed time since start = (00:00:15)
***********************************************
* End assigning physical parts. (00:00:00) *
......@@ -958,7 +958,7 @@ Ref des for prim inst @FMC_TLU_V1_LIB.FMC_TLU_TOPLEVEL_F(SCH_1):PAGE5_I1@FMC_TLU
* Packaging *
***************
Elapsed time since start = (00:00:12)
Elapsed time since start = (00:00:15)
*******************************
* End packaging (00:00:00) *
......
......@@ -752,34 +752,6 @@
Details: This error message is generated whenever part names are changed for components in either the subdesign or the root design after the subdesign has been packaged in the root design. The change in part names can occur due to one of the following reasons: change in component definition properties; selection of a different PPT row for the component (by using the Modify command in Design Entry HDL); use of COMP_NAME or COMP_NAME_SUFFIX properties.
This message is not generated if the subdesign was packaged using USE_SUBDESIGN. Packager-XL picks up the part names from the previous run of the root design packaging and does not read the subdesign state file again, whereas, in the case of FORCE_SUBDESIGN, the part names are read from the subdesign state file for each packaging run.
")
)
(
(tool "Packager-XL")
(class "LOGICAL")
(severity 30)
(error_num 289)
(short_msg "INFO(SPCODD-289): Part name conflict found for 'CN2_9'. This may be updated during packaging.")
(long_msg "INFO(SPCODD-289): Part name conflict found for 'CN2_9'. This may be updated during packaging.
Sub Design Part Name : CAPN4I-1UF,16V,X5R,GNM21
Root Design Part Name : CAPCERSMDCL2_0402-1UF,10V
Details: This error message is generated whenever part names are changed for components in either the subdesign or the root design after the subdesign has been packaged in the root design. The change in part names can occur due to one of the following reasons: change in component definition properties; selection of a different PPT row for the component (by using the Modify command in Design Entry HDL); use of COMP_NAME or COMP_NAME_SUFFIX properties.
This message is not generated if the subdesign was packaged using USE_SUBDESIGN. Packager-XL picks up the part names from the previous run of the root design packaging and does not read the subdesign state file again, whereas, in the case of FORCE_SUBDESIGN, the part names are read from the subdesign state file for each packaging run.
")
)
(
(tool "Packager-XL")
(class "LOGICAL")
(severity 30)
(error_num 289)
(short_msg "INFO(SPCODD-289): Part name conflict found for 'QZ1_9'. This may be updated during packaging.")
(long_msg "INFO(SPCODD-289): Part name conflict found for 'QZ1_9'. This may be updated during packaging.
Sub Design Part Name : BF-100.000MBE-T-GND=GND_SIGNALA
Root Design Part Name : BF-50.000MBE-T-GND=GND_SIGNAL,A
Details: This error message is generated whenever part names are changed for components in either the subdesign or the root design after the subdesign has been packaged in the root design. The change in part names can occur due to one of the following reasons: change in component definition properties; selection of a different PPT row for the component (by using the Modify command in Design Entry HDL); use of COMP_NAME or COMP_NAME_SUFFIX properties.
This message is not generated if the subdesign was packaged using USE_SUBDESIGN. Packager-XL picks up the part names from the previous run of the root design packaging and does not read the subdesign state file again, whereas, in the case of FORCE_SUBDESIGN, the part names are read from the subdesign state file for each packaging run.
")
)
(
(tool "Packager-XL")
......
This source diff could not be displayed because it is too large. You can view the blob instead.
\t (00:00:01) allegro 17.2 S063 Windows SPB 64-bit Edition
\t (00:00:01) Journal start - Tue Apr 28 10:42:25 2020
\t (00:00:01) Host=IT062971 User=phpgb Pid=7308 CPUs=8
\t (00:00:01) CmdLine= allegro -proj P:\cad\designs\fmc-mtlu\fmc-mtlu-hw\Cadence\fmc_tlu_v1f.cpm -product Allegro_performance -mpssession phpgb_ProjectMgr29217 -mpshost IT062971
\t (00:00:01)
(00:00:01) Loading axlcore.cxt
\t (00:00:02) Opening existing design...
\i (00:00:02) QtSignal SPBFoldDockArea FoldAreaTabWidget currentChanged fmc_tlu_v1f_34
\d (00:00:02) Design opened: P:/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/physical/fmc_tlu_v1f_34.brd
\i (00:00:02) trapsize 16827
\i (00:00:02) trapsize 17291
\i (00:00:02) trapsize 15226
\i (00:00:02) trapsize 15226
\i (00:00:03) generaledit
\i (00:00:06) 3d
\i (00:00:35) generaledit
\i (00:00:39) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMousePressEvent 399 219 306 284 4 4 0 +
\i (00:00:39) QtSignal 6635.93 2820.95 2475.24
\i (00:00:39) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6635.93 2820.95 2475.24 6685.21 +
\i (00:00:39) QtSignal 2845.6 2445.07
\i (00:00:39) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6635.89 2820.93 2475.28 6931.62 +
\i (00:00:39) QtSignal 3067.4 2414.94
\i (00:00:39) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6635.68 2820.78 2475.35 6672.65 +
\i (00:00:39) QtSignal 2857.75 2475.35
\i (00:00:39) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6635.66 2820.76 2475.35 6728.07 +
\i (00:00:39) QtSignal 2876.22 2430.09
\i (00:00:39) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6635.58 2820.73 2475.4 6875.87 +
\i (00:00:39) QtSignal 3024.06 2430.15
\i (00:00:39) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6635.42 2820.61 2475.45 7023.59 +
\i (00:00:39) QtSignal 3221.09 2490.54
\i (00:00:39) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6635.16 2820.33 2475.44 7047.97 +
\i (00:00:39) QtSignal 3245.46 2490.52
\i (00:00:40) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6634.89 2820.05 2475.42 6869.03 +
\i (00:00:40) QtSignal 3078.82 2505.59
\i (00:00:40) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6634.74 2819.87 2475.38 6757.97 +
\i (00:00:40) QtSignal 2943.1 2475.38
\i (00:00:40) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6634.66 2819.79 2475.38 6671.63 +
\i (00:00:40) QtSignal 2856.76 2475.38
\i (00:00:40) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6634.64 2819.77 2475.38 6936.54 +
\i (00:00:40) QtSignal 3109.35 2460.3
\i (00:00:40) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6634.43 2819.58 2475.4 6659.08 +
\i (00:00:40) QtSignal 2844.22 2475.4
\i (00:00:40) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6634.42 2819.56 2475.4 6708.35 +
\i (00:00:40) QtSignal 2893.5 2475.4
\i (00:00:40) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6634.37 2819.51 2475.4 6695.97 +
\i (00:00:40) QtSignal 2856.48 2445.23
\i (00:00:40) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6634.32 2819.5 2475.43 6658.96 +
\i (00:00:40) QtSignal 2844.14 2475.43
\i (00:00:40) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6634.3 2819.47 2475.44 6708.24 +
\i (00:00:40) QtSignal 2893.41 2475.44
\i (00:00:40) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6634.25 2819.43 2475.44 6621.93 +
\i (00:00:40) QtSignal 2807.1 2475.44
\i (00:00:40) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6634.26 2819.43 2475.44 6147.54 +
\i (00:00:40) QtSignal 2443.56 2611.2
\i (00:00:41) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6634.61 2819.66 2475.27 6351.22 +
\i (00:00:41) QtSignal 2659.43 2626.12
\i (00:00:41) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6634.83 2819.73 2475.1 6406.88 +
\i (00:00:41) QtSignal 2653.36 2550.52
\i (00:00:41) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6635 2819.83 2475.01 6357.75 +
\i (00:00:41) QtSignal 2579.52 2520.26
\i (00:00:41) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6635.19 2819.98 2474.96 6493.49 +
\i (00:00:41) QtSignal 2690.58 2490.04
\i (00:00:41) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6635.29 2820.06 2474.94 6561.35 +
\i (00:00:41) QtSignal 2746.12 2474.94
\i (00:00:41) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6635.34 2820.11 2474.94 6586.05 +
\i (00:00:41) QtSignal 2795.46 2505.11
\i (00:00:41) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6635.38 2820.12 2474.9 6518.31 +
\i (00:00:41) QtSignal 2690.74 2459.82
\i (00:00:41) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6635.45 2820.21 2474.92 6561.52 +
\i (00:00:41) QtSignal 2746.27 2474.92
\i (00:00:41) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6635.5 2820.25 2474.92 6610.86 +
\i (00:00:41) QtSignal 2795.61 2474.92
\i (00:00:41) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6635.52 2820.27 2474.92 6592.39 +
\i (00:00:41) QtSignal 2789.46 2490.01
\i (00:00:42) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6635.55 2820.29 2474.9 6598.58 +
\i (00:00:42) QtSignal 2783.32 2474.9
\i (00:00:42) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 6635.57 2820.31 2474.9 6580.12 +
\i (00:00:42) QtSignal 2777.18 2489.99
\i (00:00:42) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMouseReleaseEvent 455 199 362 264 4 0 +
\i (00:00:42) QtSignal 0 6635.61 2820.34 2474.88
\i (00:00:43) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMousePressEvent 1075 130 982 195 1 1 +
\i (00:00:43) QtSignal 0 13850.8 10885.3 3515.72
\i (00:00:43) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMouseReleaseEvent 1081 122 988 187 1 +
\i (00:00:43) QtSignal 0 0 13875.4 11008.5 3636.39
\i (00:00:44) QtSignal pcb3dViewer pcb3dViewerCanvas keyPressEvent 16777248 33554432 false 1
\i (00:00:44) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMousePressEvent 1340 664 1247 729 4 4 +
\i (00:00:44) QtSignal 33554432 23538.6 6281.93 -911.401
\i (00:00:44) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 23538.6 6281.93 -911.401 +
\i (00:00:44) QtSignal 23538.6 6281.93 -911.401
\i (00:00:44) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 23538.6 6281.93 -911.401 +
\i (00:00:44) QtSignal 23244.8 6110 -762.064
\i (00:00:45) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 20296.3 7880.48 -2613.07 +
\i (00:00:45) QtSignal 20113.4 7671.89 -2336.02
\i (00:00:45) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 16455.7 8411.08 -3179.3 16444.5 +
\i (00:00:45) QtSignal 8212.31 -2782.38
\i (00:00:45) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 12694.6 7975.16 -2241.47 +
\i (00:00:45) QtSignal 12780 7900.56 -2090.46
\i (00:00:45) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 11431.6 7646.29 -1303.85 +
\i (00:00:45) QtSignal 11503.6 7620.45 -1220.56
\i (00:00:45) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 10868.9 7450.21 -677.939 +
\i (00:00:45) QtSignal 10963.4 7398.68 -599.772
\i (00:00:45) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 10239.3 7126.46 201.794 10485.3 +
\i (00:00:45) QtSignal 7051.71 358.572
\i (00:00:45) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 9398.98 6270.32 2176.76 9474.39 +
\i (00:00:45) QtSignal 6262.52 2196.73
\i (00:00:45) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 9333.95 6074.08 2716.85 9579.83 +
\i (00:00:45) QtSignal 6011.82 2745.55
\i (00:00:45) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 9385.07 5206.38 4563.39 9572.66 +
\i (00:00:45) QtSignal 5200.1 4542.9
\i (00:00:45) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 9783.84 4633.2 5758.81 10053.2 +
\i (00:00:45) QtSignal 4684.68 5690.49
\i (00:00:45) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 10720.7 3966.99 7127.02 10775 +
\i (00:00:45) QtSignal 3975.14 7089.5
\i (00:00:45) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 11054.3 3832.65 7441.29 11166.3 +
\i (00:00:45) QtSignal 3864.05 7355.5
\i (00:00:46) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 11802.4 3575.81 7998.59 11890.4 +
\i (00:00:46) QtSignal 3601.42 7902.17
\i (00:00:46) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 12591.1 3363.33 8415.89 12602 +
\i (00:00:46) QtSignal 3347.37 8391.21
\i (00:00:46) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 12808.4 3294.33 8511.59 12861.4 +
\i (00:00:46) QtSignal 3328.38 8433.45
\i (00:00:46) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 13393.5 3181.6 8704.11 13398.9 +
\i (00:00:46) QtSignal 3195 8698.02
\i (00:00:46) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 13422.2 3190.4 8707.92 13428.4 +
\i (00:00:46) QtSignal 3224.9 8708.63
\i (00:00:46) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 13364 3237.76 8680.96 13359.7 +
\i (00:00:46) QtSignal 3245.39 8693.96
\i (00:00:47) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 13248.6 3261.03 8646.55 13253.2 +
\i (00:00:47) QtSignal 3274.56 8640.14
\i (00:00:47) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 13275.9 3271.66 8649.92 13262.2 +
\i (00:00:47) QtSignal 3294.69 8688.6
\i (00:00:47) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 12928.7 3330.07 8537.56 12921.5 +
\i (00:00:47) QtSignal 3380.46 8562.19
\i (00:00:47) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 12635.3 3404.44 8417.3 12623.2 +
\i (00:00:47) QtSignal 3420.07 8441.67
\i (00:00:47) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 12404.6 3424.62 8324.7 12402 +
\i (00:00:47) QtSignal 3446.03 8329.85
\i (00:00:47) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 12314.7 3446.85 8280.65 12294.4 +
\i (00:00:47) QtSignal 3470.32 8316.03
\i (00:00:47) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 11971.8 3460.1 8124.61 11979.1 +
\i (00:00:47) QtSignal 3487.27 8110.79
\i (00:00:47) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 12019.2 3489.88 8135.33 12004.2 +
\i (00:00:47) QtSignal 3505.48 8158.08
\i (00:00:47) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 11791.5 3485.59 8025.87 11783.5 +
\i (00:00:47) QtSignal 3493.35 8036.95
\i (00:00:47) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 11678.6 3481.75 7968.36 11657.3 +
\i (00:00:47) QtSignal 3518.5 7993.97
\i (00:00:47) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 11366 3479.97 7791.25 11345.5 +
\i (00:00:47) QtSignal 3529.94 7807.95
\i (00:00:47) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 11078.8 3480.66 7607.45 11062.4 +
\i (00:00:47) QtSignal 3509.12 7619.72
\i (00:00:47) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 10882.4 3462.53 7478 10872.5 +
\i (00:00:47) QtSignal 3469.94 7487.65
\i (00:00:48) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 10775.5 3440.66 7408.77 10732.1 +
\i (00:00:48) QtSignal 3517.48 7432.61
\i (00:00:48) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 10309.5 3372.87 7056.57 10279.8 +
\i (00:00:48) QtSignal 3420.11 7065.58
\i (00:00:48) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 10041.8 3306.57 6836.12 9999.41 +
\i (00:00:48) QtSignal 3372.29 6842.08
\i (00:00:48) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 9701.22 3196.01 6530.51 9676.52 +
\i (00:00:48) QtSignal 3208.64 6545.16
\i (00:00:48) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 9517.92 3090.46 6369.66 9506.15 +
\i (00:00:48) QtSignal 3109.11 6367.32
\i (00:00:48) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 9440.9 3057.88 6291.3 9415.23 +
\i (00:00:48) QtSignal 3069.77 6304.85
\i (00:00:48) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 9266.72 2941.82 6124.95 9228.82 +
\i (00:00:48) QtSignal 2983.77 6125.19
\i (00:00:48) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 9038.83 2804.54 5873.51 9025.75 +
\i (00:00:48) QtSignal 2821.98 5869.48
\i (00:00:48) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 8968.94 2760.55 5790.62 8928.53 +
\i (00:00:48) QtSignal 2799.97 5787.46
\i (00:00:48) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 8757.59 2594.67 5534.9 8757.71 +
\i (00:00:48) QtSignal 2606.37 5524.47
\i (00:00:48) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 8765.77 2617.4 5536.7 8737.59 +
\i (00:00:48) QtSignal 2626.73 5546.86
\i (00:00:48) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 8622.66 2460.59 5366.24 8522.37 +
\i (00:00:48) QtSignal 2583.22 5314.39
\i (00:00:48) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 8222.03 2063.95 4736.51 8191.4 +
\i (00:00:48) QtSignal 2080.2 4731.26
\i (00:00:48) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 8119.78 1886.2 4573.57 8072.92 +
\i (00:00:48) QtSignal 1913.94 4558.36
\i (00:00:49) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7982.87 1619.37 4326.25 7967.54 +
\i (00:00:49) QtSignal 1621.45 4328.83
\i (00:00:49) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7940.33 1511.43 4248.25 7860.92 +
\i (00:00:49) QtSignal 1548.89 4222.73
\i (00:00:49) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7764.09 1025.14 3835.53 7748.51 +
\i (00:00:49) QtSignal 1025.91 3837.14
\i (00:00:49) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7735.95 909.104 3759.72 7639.57 +
\i (00:00:49) QtSignal 938.517 3729.49
\i (00:00:49) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7614.28 263.551 3277.48 7485.48 +
\i (00:00:49) QtSignal 289.08 3228.12
\i (00:00:49) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7588.46 -651.841 2657.6 7587.05 +
\i (00:00:49) QtSignal -637.678 2629.67
\i (00:00:49) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7582.96 -616.41 2640.18 7566.9 +
\i (00:00:49) QtSignal -612.496 2625.4
\i (00:00:49) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7590.63 -730.785 2569.32 +
\i (00:00:49) QtSignal 7559.84 -736.639 2567.94
\i (00:00:50) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7619.57 -995.806 2450.58 +
\i (00:00:50) QtSignal 7603.16 -986.513 2421.03
\i (00:00:50) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7631.41 -1095.54 2371.1 7554.89 +
\i (00:00:50) QtSignal -1107.96 2351.46
\i (00:00:50) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7759.04 -1749.74 2086.15 +
\i (00:00:50) QtSignal 7744.21 -1754.61 2084.62
\i (00:00:50) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7793.24 -1883.84 2033.76 +
\i (00:00:50) QtSignal 7763.07 -1882.8 2001.27
\i (00:00:51) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7860.97 -2121.58 1906.72 +
\i (00:00:51) QtSignal 7861.39 -2137.04 1951.14
\i (00:00:51) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7873.97 -2166.17 1939.95 +
\i (00:00:51) QtSignal 7888.51 -2160.58 1941.76
\i (00:00:51) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7834.63 -2033.78 1994.56 +
\i (00:00:51) QtSignal 7864.37 -2028.94 2012.51
\i (00:00:51) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7767.94 -1783.69 2114.29 +
\i (00:00:51) QtSignal 7783.84 -1791.02 2144.67
\i (00:00:51) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7744.94 -1684.24 2190.79 +
\i (00:00:51) QtSignal 7775.47 -1681.53 2207.82
\i (00:00:51) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7694.31 -1439.78 2323.52 +
\i (00:00:51) QtSignal 7695.86 -1453.4 2351.72
\i (00:00:51) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7702.82 -1475.34 2340.24 +
\i (00:00:51) QtSignal 7717.9 -1471.17 2341.42
\i (00:00:52) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 7679.78 -1347.55 2408.9 7680.71 +
\i (00:00:52) QtSignal -1354.83 2422.75
\i (00:00:52) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMouseReleaseEvent 1286 474 1193 539 4 +
\i (00:00:52) QtSignal 0 33554432 7684.02 -1365.93 2416.57
\i (00:00:53) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMousePressEvent 1206 516 1113 581 4 4 +
\i (00:00:53) QtSignal 0 8937.5 -1366.62 3077.38
\i (00:00:53) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8937.5 -1366.62 3077.38 8937.5 +
\i (00:00:53) QtSignal -1366.62 3077.38
\i (00:00:53) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8937.5 -1366.62 3077.38 9130.2 +
\i (00:00:53) QtSignal -1402.01 3241.01
\i (00:00:53) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8937.37 -1366.56 3077.19 8983.8 +
\i (00:00:53) QtSignal -1362.26 3094.08
\i (00:00:53) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8937.34 -1366.55 3077.18 9370.16 +
\i (00:00:53) QtSignal -1440.55 3435.05
\i (00:00:53) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8937.04 -1366.41 3076.77 9079.32 +
\i (00:00:53) QtSignal -1376.06 3168.61
\i (00:00:53) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8936.94 -1366.38 3076.67 8954.08 +
\i (00:00:53) QtSignal -1377.47 3105.17
\i (00:00:53) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8936.93 -1366.37 3076.63 8988.33 +
\i (00:00:53) QtSignal -1399.62 3162.15
\i (00:00:53) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8936.89 -1366.32 3076.53 9033.73 +
\i (00:00:53) QtSignal -1387.77 3165.21
\i (00:00:53) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8936.82 -1366.29 3076.43 8967.11 +
\i (00:00:53) QtSignal -1358.42 3078.54
\i (00:00:53) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8936.8 -1366.29 3076.43 8937.8 +
\i (00:00:53) QtSignal -1373.8 3090.16
\i (00:00:53) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8936.8 -1366.28 3076.42 8985.22 +
\i (00:00:53) QtSignal -1377.01 3120.76
\i (00:00:53) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8936.77 -1366.26 3076.37 9002.33 +
\i (00:00:53) QtSignal -1388.07 3149.21
\i (00:00:53) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8936.72 -1366.23 3076.28 8986.14 +
\i (00:00:53) QtSignal -1384.46 3134.35
\i (00:00:53) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8936.69 -1366.2 3076.22 8968.97 +
\i (00:00:53) QtSignal -1373.35 3105.77
\i (00:00:54) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8936.66 -1366.19 3076.18 8991.05 +
\i (00:00:54) QtSignal -1421.97 3202.87
\i (00:00:54) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8936.62 -1366.12 3076.03 8992.01 +
\i (00:00:54) QtSignal -1429.4 3216.45
\i (00:00:54) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8936.58 -1366.04 3075.87 8990.97 +
\i (00:00:54) QtSignal -1421.81 3202.56
\i (00:00:54) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8936.54 -1365.97 3075.72 9005.08 +
\i (00:00:54) QtSignal -1410.3 3189.74
\i (00:00:54) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8936.49 -1365.91 3075.59 8991.87 +
\i (00:00:54) QtSignal -1429.19 3216.01
\i (00:00:54) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 8936.45 -1365.83 3075.43 8938.44 +
\i (00:00:54) QtSignal -1380.85 3102.88
\i (00:00:54) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMouseReleaseEvent 1115 635 1022 700 4 +
\i (00:00:54) QtSignal 0 0 8936.44 -1365.81 3075.4
\i (00:00:55) QtSignal pcb3dViewer pcb3dViewerCanvas keyPressEvent 16777248 33554432 false 1
\i (00:00:55) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasWheelEvent 1168 320 1075 385 0 0 120
\i (00:00:55) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasWheelEvent 1168 320 1075 385 0 0 120
\i (00:00:56) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasWheelEvent 1168 320 1075 385 0 0 -120
\i (00:00:56) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasWheelEvent 1168 320 1075 385 0 0 -120
\i (00:00:57) QtSignal pcb3dViewer pcb3dViewerCanvas keyPressEvent 16777248 33554432 false 1
\i (00:00:57) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMousePressEvent 1168 320 1075 385 4 4 +
\i (00:00:57) QtSignal 33554432 5608.1 3603.21 3.10474
\i (00:00:57) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 5608.1 3603.21 3.10474 5609.3 +
\i (00:00:57) QtSignal 3594.16 19.6628
\i (00:00:57) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 5600.6 3623.17 36.509 5610.86 +
\i (00:00:57) QtSignal 3548.49 167.69
\i (00:00:57) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 5548.09 3752.29 309.2 5640.47 +
\i (00:00:57) QtSignal 3656.92 463.675
\i (00:00:57) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 5483.01 4277.11 990.397 5523.7 +
\i (00:00:57) QtSignal 4156.68 1105.16
\i (00:00:57) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 5476.08 4384.23 1388.62 5518.91 +
\i (00:00:57) QtSignal 4360.13 1409.76
\i (00:00:57) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 5489.8 4531.89 1677.72 5492.67 +
\i (00:00:57) QtSignal 4515.97 1687.51
\i (00:00:57) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 5490.93 4528.49 1708.8 5496.72 +
\i (00:00:57) QtSignal 4496.31 1727.82
\i (00:00:58) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 5493.52 4519.61 1769.88 5496.46 +
\i (00:00:58) QtSignal 4503.2 1778.81
\i (00:00:59) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMouseReleaseEvent 1161 354 1068 419 4 +
\i (00:00:59) QtSignal 0 33554432 5494.98 4514.15 1799.85
\i (00:00:59) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMousePressEvent 1234 326 1141 391 4 4 +
\i (00:00:59) QtSignal 0 4050.43 4746.94 1582.22
\i (00:01:00) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 4050.43 4746.94 1582.22 4053.4 +
\i (00:01:00) QtSignal 4730.38 1590.87
\i (00:01:00) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 4050.43 4746.96 1582.21 4151.7 +
\i (00:01:00) QtSignal 4610.57 1658.65
\i (00:01:00) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 4050.35 4747.13 1582.12 4056.27 +
\i (00:01:00) QtSignal 4714.01 1599.4
\i (00:01:00) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 4050.34 4747.17 1582.1 4284.26 +
\i (00:01:00) QtSignal 4513.84 1717.02
\i (00:01:00) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 4050.16 4747.46 1581.94 4129.81 +
\i (00:01:00) QtSignal 4624.47 1650.07
\i (00:01:00) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 4050.09 4747.6 1581.86 4161.13 +
\i (00:01:00) QtSignal 4664.06 1632.04
\i (00:01:00) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 4050.01 4747.71 1581.8 4077.55 +
\i (00:01:00) QtSignal 4701.2 1607.39
\i (00:01:00) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 4049.99 4747.77 1581.77 4090.25 +
\i (00:01:00) QtSignal 4737.54 1589.75
\i (00:01:00) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 4049.96 4747.78 1581.76 4105.91 +
\i (00:01:00) QtSignal 4757.27 1580.76
\i (00:01:00) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 4049.92 4747.78 1581.76 4074.5 +
\i (00:01:00) QtSignal 4717.82 1598.71
\i (00:01:01) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 4049.9 4747.81 1581.74 4087.2 +
\i (00:01:01) QtSignal 4754.14 1581.08
\i (00:01:01) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 4049.88 4747.81 1581.74 4108.8 +
\i (00:01:01) QtSignal 4740.74 1589.38
\i (00:01:01) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 4049.84 4747.82 1581.73 4105.79 +
\i (00:01:01) QtSignal 4757.31 1580.73
\i (00:01:01) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMouseReleaseEvent 1197 375 1104 440 4 +
\i (00:01:01) QtSignal 0 0 4049.8 4747.82 1581.73
\i (00:01:04) QtSignal pcb3dViewer pcb3dViewerCanvas keyPressEvent 16777248 33554432 false 1
\i (00:01:04) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMousePressEvent 1242 466 1149 531 4 4 +
\i (00:01:04) QtSignal 33554432 4024.49 1990.36 2.08508
\i (00:01:05) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 4024.49 1990.36 2.08508 4185.22 +
\i (00:01:05) QtSignal 2017.61 -0.784637
\i (00:01:05) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 4207.84 2651.96 1207.17 4279.08 +
\i (00:01:05) QtSignal 2658.78 1195.83
\i (00:01:05) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 4356.28 2945.73 1719.29 4391.58 +
\i (00:01:05) QtSignal 2947.94 1711.42
\i (00:01:05) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 4445.33 3091.85 1968.8 4462.87 +
\i (00:01:05) QtSignal 3092.65 1964.32
\i (00:01:06) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 4493.49 3164.64 2091.79 4496.32 +
\i (00:01:06) QtSignal 3148.78 2100.06
\i (00:01:06) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 4493.54 3142.64 2089 4451.34 +
\i (00:01:06) QtSignal 2980 2188.02
\i (00:01:06) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 4315.66 2637.85 1518.99 4329.23 +
\i (00:01:06) QtSignal 2534.55 1549.79
\i (00:01:06) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 4309.93 2507.33 1447.03 4297.99 +
\i (00:01:06) QtSignal 2453.01 1460.68
\i (00:01:07) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 4261.74 2412.79 1259.84 4231.5 +
\i (00:01:07) QtSignal 2356.61 1273.77
\i (00:01:07) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 4175.88 2304.76 918.584 4161.28 +
\i (00:01:07) QtSignal 2267.42 924.272
\i (00:01:07) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 4135.56 2250.12 733.613 4137.1 +
\i (00:01:07) QtSignal 2232.1 734.468
\i (00:01:07) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 4134.4 2231.07 713.81 4137.41 +
\i (00:01:07) QtSignal 2194.99 714.893
\i (00:01:08) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 4131.73 2193.99 672.303 4094.51 +
\i (00:01:08) QtSignal 2209.2 677.223
\i (00:01:08) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 4061.12 2208.08 394.927 3887.74 +
\i (00:01:08) QtSignal 2339.64 409.195
\i (00:01:08) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 3851.13 2331.22 -840.8 3793.18 +
\i (00:01:08) QtSignal 2380.38 -852.187
\i (00:01:08) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 3831.49 2321.49 -1262.67 +
\i (00:01:08) QtSignal 3830.32 2339.18 -1266.34
\i (00:01:08) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 3828.13 2342.69 -1249.46 +
\i (00:01:08) QtSignal 3807.93 2376.35 -1259.4
\i (00:01:08) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 3824.23 2351.01 -1379.01 +
\i (00:01:08) QtSignal 3823.19 2368.5 -1383.59
\i (00:01:09) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 3820.79 2372.63 -1367.87 +
\i (00:01:09) QtSignal 3838.68 2374.3 -1365.58
\i (00:01:09) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 3817.1 2415.07 -1216.01 3870.91 +
\i (00:01:09) QtSignal 2419.82 -1210.04
\i (00:01:09) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 3822.7 2542.76 -762.455 3877.8 +
\i (00:01:09) QtSignal 2529.36 -754.323
\i (00:01:09) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 3854.47 2648.98 -324.187 +
\i (00:01:09) QtSignal 3872.56 2650.06 -324.019
\i (00:01:09) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 3870.18 2689.05 -174.742 +
\i (00:01:09) QtSignal 3871.19 2671.56 -170.158
\i (00:01:09) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 3871.29 2667.38 -186.705 +
\i (00:01:09) QtSignal 3907.47 2669.39 -186.98
\i (00:01:10) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 3911.07 2742.28 111.56 3929.15 +
\i (00:01:10) QtSignal 2743.14 110.808
\i (00:01:12) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMouseReleaseEvent 1238 480 1145 545 4 +
\i (00:01:12) QtSignal 0 33554432 3934.95 2779.7 259.627
\i (00:01:12) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMousePressEvent 1402 400 1309 465 4 4 +
\i (00:01:12) QtSignal 0 891.086 4057.5 91.0765
\i (00:01:12) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 891.086 4057.5 91.0765 892.092 +
\i (00:01:12) QtSignal 4039.94 95.3536
\i (00:01:13) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 891.082 4057.52 91.0716 931.247 +
\i (00:01:13) QtSignal 3988.81 106.063
\i (00:01:13) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 891.056 4057.6 91.0528 893.068 +
\i (00:01:13) QtSignal 4022.47 99.6069
\i (00:01:13) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 891.054 4057.65 91.0427 1135.06 +
\i (00:01:13) QtSignal 3592.68 193.82
\i (00:01:13) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 890.877 4058.2 90.9154 936.074 +
\i (00:01:13) QtSignal 3901.65 127.292
\i (00:01:13) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 890.847 4058.38 90.8679 954.111 +
\i (00:01:13) QtSignal 3902.61 126.185
\i (00:01:13) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 890.795 4058.57 90.8287 915.91 +
\i (00:01:13) QtSignal 3936.38 119.709
\i (00:01:13) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 890.774 4058.71 90.7903 895.807 +
\i (00:01:13) QtSignal 3970.88 112.176
\i (00:01:13) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 890.771 4058.81 90.765 927.917 +
\i (00:01:13) QtSignal 4042.8 92.9248
\i (00:01:13) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 890.744 4058.83 90.7653 892.757 +
\i (00:01:13) QtSignal 4023.7 99.3194
\i (00:01:13) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 890.738 4058.87 90.7516 894.764 +
\i (00:01:13) QtSignal 3988.61 107.86
\i (00:01:13) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 890.734 4058.95 90.732 892.748 +
\i (00:01:13) QtSignal 4023.82 99.2861
\i (00:01:13) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasPanEvent 890.732 4059 90.7217 891.738 +
\i (00:01:13) QtSignal 4041.43 94.9987
\i (00:01:14) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMouseReleaseEvent 1380 474 1287 539 4 +
\i (00:01:14) QtSignal 0 0 890.736 4059.02 90.7199
\i (00:01:14) QtSignal pcb3dViewer pcb3dViewerCanvas keyPressEvent 16777248 33554432 false 1
\i (00:01:14) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMousePressEvent 1379 473 1286 538 4 4 +
\i (00:01:14) QtSignal 33554432 919.914 4058.85 1.3717
\i (00:01:14) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 919.914 4058.85 1.3717 956 +
\i (00:01:14) QtSignal 4060.41 -0.742493
\i (00:01:14) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 981.2 4154.79 380.984 982.206 +
\i (00:01:14) QtSignal 4137.25 385.255
\i (00:01:14) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 980.966 4133.74 370.574 982.924 +
\i (00:01:14) QtSignal 4098.51 378.504
\i (00:01:15) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 980.299 4092.01 347.736 999.229 +
\i (00:01:15) QtSignal 4074.89 349.417
\i (00:01:16) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 1015.85 4109.23 525.958 1018.53 +
\i (00:01:16) QtSignal 4055.82 535.081
\i (00:01:16) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 1013.23 4048.32 484.791 1014.82 +
\i (00:01:16) QtSignal 4012.44 489.01
\i (00:01:17) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 1011.09 4008.79 454.055 939.928 +
\i (00:01:17) QtSignal 3988.52 463.451
\i (00:01:17) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 882.616 3919.96 -341.313 +
\i (00:01:17) QtSignal 884.053 3883.9 -338.971
\i (00:01:18) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 882.631 3882.08 -376.137 +
\i (00:01:18) QtSignal 864.566 3881.41 -375.415
\i (00:01:18) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 858.608 3875.24 -573.514 +
\i (00:01:18) QtSignal 822.461 3873.88 -572.7
\i (00:01:18) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 820.905 3861.91 -970.34 748.602 +
\i (00:01:18) QtSignal 3859.1 -971.236
\i (00:01:18) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 787.342 3836.64 -1770.55 +
\i (00:01:18) QtSignal 733.297 3834.43 -1775.01
\i (00:01:18) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 799.202 3819 -2374.52 763.379 +
\i (00:01:18) QtSignal 3817.48 -2379.38
\i (00:01:19) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 824.896 3807.9 -2778.05 769.367 +
\i (00:01:19) QtSignal 3859.75 -2788.82
\i (00:01:19) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 876.416 3848.94 -3333.38 +
\i (00:01:19) QtSignal 858.786 3848.14 -3337.36
\i (00:01:19) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 905.72 3833.41 -3534.33 798.44 +
\i (00:01:19) QtSignal 3900.55 -3565.9
\i (00:01:19) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 1132.63 3821.55 -4677.53 +
\i (00:01:19) QtSignal 1030.43 3869.5 -4722.01
\i (00:01:19) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 1493.32 3711.21 -5811.2 1461.5 +
\i (00:01:19) QtSignal 3744.28 -5833.8
\i (00:01:19) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 1635.33 3675.2 -6170.56 1635.98 +
\i (00:01:19) QtSignal 3692.82 -6174.59
\i (00:01:19) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 1629.21 3696.04 -6162.15 +
\i (00:01:19) QtSignal 1613.22 3694.72 -6170.52
\i (00:01:19) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 1711.69 3646.98 -6347.14 +
\i (00:01:19) QtSignal 1681.62 3679.29 -6373
\i (00:01:19) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 1874.47 3591.14 -6699.13 +
\i (00:01:19) QtSignal 1829.03 3603.92 -6731.45
\i (00:01:19) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 2155.74 3445.68 -7227.12 +
\i (00:01:19) QtSignal 2158.34 3480.3 -7237.25
\i (00:01:20) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 2144.07 3487.77 -7217 2130.7 +
\i (00:01:20) QtSignal 3503.11 -7232.49
\i (00:01:20) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 2240.44 3443.25 -7384.78 +
\i (00:01:20) QtSignal 2214.41 3473.55 -7416.73
\i (00:01:20) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 2442.98 3347.76 -7715.4 2430.7 +
\i (00:01:20) QtSignal 3362.5 -7732.32
\i (00:01:20) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 2548.84 3293.41 -7876.67 +
\i (00:01:20) QtSignal 2520.26 3289.04 -7898.43
\i (00:01:20) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 2779.07 3136.64 -8195.5 2772.33 +
\i (00:01:20) QtSignal 3184.34 -8226.3
\i (00:01:20) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 2885.74 3120.99 -8350 2899.44 +
\i (00:01:20) QtSignal 3123.52 -8338.46
\i (00:01:20) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 2767.41 3209.1 -8197.53 2965.9 +
\i (00:01:20) QtSignal 3116.7 -7959.25
\i (00:01:20) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 1345.63 4462.03 -5571.76 +
\i (00:01:20) QtSignal 1390.97 4428.34 -5539.16
\i (00:01:20) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 1168.14 4603.67 -5024.17 +
\i (00:01:20) QtSignal 1297.25 4551.33 -4957.23
\i (00:01:21) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 834.586 4967.63 -3509.34 +
\i (00:01:21) QtSignal 850.569 4949.3 -3501.42
\i (00:01:21) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 809.063 4988.82 -3323.15 +
\i (00:01:21) QtSignal 841.302 4951.89 -3308.51
\i (00:01:21) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 767.533 5023.93 -2951.13 +
\i (00:01:21) QtSignal 783.89 5005.23 -2945.03
\i (00:01:21) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 751.492 5034.4 -2764.88 787.068 +
\i (00:01:21) QtSignal 5032.59 -2758.54
\i (00:01:21) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 726.955 5088.03 -2365.34 +
\i (00:01:21) QtSignal 742.193 5051.27 -2358.15
\i (00:01:21) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 721.264 5074.68 -2194.07 +
\i (00:01:21) QtSignal 825.047 5014.6 -2175.54
\i (00:01:22) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 747.673 5128.13 -1045.69 +
\i (00:01:22) QtSignal 748.907 5146.15 -1046.44
\i (00:01:22) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 748.622 5147.05 -1029.11 +
\i (00:01:22) QtSignal 751.102 5183.06 -1031.25
\i (00:01:23) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 750.611 5185.66 -997.902 +
\i (00:01:23) QtSignal 733.814 5204.84 -999.959
\i (00:01:23) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 737.723 5187.55 -1181.61 +
\i (00:01:23) QtSignal 723.459 5242.51 -1188.31
\i (00:01:23) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasRotateEvent 729.008 5226.31 -1340.22 +
\i (00:01:23) QtSignal 731.61 5261.9 -1346.12
\i (00:01:23) QtSignal pcb3dViewer pcb3dViewerCanvas CanvasMouseReleaseEvent 1384 473 1291 538 4 +
\i (00:01:23) QtSignal 0 33554432 730.362 5267.34 -1316.98
\i (00:01:27) QtSignal pcb3dViewer pcb3dViewerCanvas keyPressEvent 16777249 67108864 false 1
\i (00:02:20) QtSignal pcb3dViewer pcb3dViewer closed
\i (00:02:21) exit
\e (00:02:21) Do you want to save the changes you made to fmc_tlu_v1f_34.brd?
\i (00:02:23) fillin no
\t (00:02:24) Journal end - Tue Apr 28 10:44:47 2020
\t (00:00:14) allegro 17.2 S061 Linux SPB 64-bit Edition
\t (00:00:14) Journal start - Wed Sep 2 08:58:29 2020
\t (00:00:14) Host=excession.phy.bris.ac.uk User=phdgc Pid=21431 CPUs=12
\t (00:00:14) CmdLine= /software/CAD/Cadence/SPB17.20.061/tools/bin/allegro.exe -proj /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/fmc_tlu_v1f.cpm -product Allegro_performance -option Allegro_PCB_HighSpeed_Option -option Allegro_PCB_Mini_Option -mpssession phdgc_ProjectMgr25479 -mpshost excession.phy.bris.ac.uk
\t (00:00:14)
(00:00:15) Loading axlcore.cxt
(00:00:15) *Error* load: can't access file - "~/Download/fcircle.il"
(00:00:15) Searching for nsWare programs v2.62
(00:00:15) NsWare directory found: /users/phdgc/pcbenv/nsWare/
(00:00:15) NsWare programs must reside in this directory!
\t (00:00:15) Opening existing design...
\i (00:00:15) QtSignal SPBFoldDockArea FoldAreaTabWidget currentChanged fmc_tlu_v1f_34
\d (00:00:16) Design opened: /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/physical/fmc_tlu_v1f_34.brd
\i (00:00:16) trapsize 27441
\i (00:00:16) trapsize 28698
\i (00:00:17) trapsize 28437
\i (00:00:17) trapsize 28437
\i (00:00:17) generaledit
\i (00:02:07) open
\i (00:02:10) fillin "fmc_tlu_v1f_35.brd"
\t (00:02:10) Opening existing design...
\i (00:02:10) trapsize 2289
\d (00:02:10) Design opened: /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/physical/fmc_tlu_v1f_35.brd
\i (00:02:11) generaledit
\i (00:02:26) roam y 96
\i (00:02:26) roam y 96
\i (00:02:26) roam y 96
\i (00:02:26) roam y 96
\i (00:02:26) roam y 96
\i (00:02:26) roam y 96
\i (00:02:26) roam y 96
\i (00:02:26) roam y 96
\i (00:02:26) roam y 96
\i (00:02:26) roam y 96
\i (00:02:26) roam y 96
\i (00:02:26) roam y 96
\i (00:02:27) roam x -96
\i (00:02:27) roam x -96
\i (00:02:27) roam x -96
\i (00:02:27) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam x -96
\i (00:02:28) roam y 96
\i (00:02:28) roam y 96
\i (00:02:29) roam y 96
\i (00:02:29) roam y 96
\i (00:02:29) roam y 96
\i (00:02:29) roam y 96
\i (00:02:29) roam y 96
\i (00:02:29) roam y 96
\i (00:02:29) roam y 96
\i (00:02:29) roam y 96
\i (00:02:29) roam x -96
\i (00:02:29) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:30) roam x -96
\i (00:02:31) roam x -96
\i (00:02:31) roam x -96
\i (00:03:02) roam y -96
\i (00:03:02) roam y -96
\i (00:03:04) roam y 96
\i (00:03:04) roam y 96
\i (00:03:05) roam y 96
\i (00:03:05) roam y 96
\i (00:03:40) open
\i (00:03:41) fillin "fmc_tlu_v1f_34.brd"
\t (00:03:41) Opening existing design...
\i (00:03:42) trapsize 28437
\d (00:03:42) Design opened: /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/physical/fmc_tlu_v1f_34.brd
\i (00:03:42) generaledit
\i (00:03:45) roam x 96
\i (00:03:45) roam x 96
\i (00:03:47) zoom points
\t (00:03:47) Pick 1st corner of the new window.
\i (00:03:48) pick 65.3368 25.3904
\t (00:03:48) last pick: 65.3368 25.3904
\t (00:03:48) Pick to complete the window.
\i (00:03:50) pick 178.5179 -67.8845
\t (00:03:50) last pick: 178.5179 -67.8845
\i (00:03:50) trapsize 7340
\i (00:03:54) zoom in
\i (00:03:54) setwindow pcb
\i (00:03:54) zoom in 121.8540 -22.9060
\i (00:03:54) trapsize 3670
\i (00:03:57) pick grid 109.0092 -19.6030
\t (00:03:57) last pick: 109.0000 -19.6000
\i (00:03:58) roam y -96
\i (00:03:58) roam y -96
\i (00:03:58) roam y -96
\i (00:03:58) roam y -96
\i (00:03:58) roam y -96
\i (00:03:58) roam y -96
\i (00:04:01) zoom out
\i (00:04:01) setwindow pcb
\i (00:04:01) zoom out 121.8540 -1.7678
\i (00:04:01) trapsize 7340
\i (00:04:04) zoom points
\t (00:04:04) Pick 1st corner of the new window.
\i (00:04:05) pick 92.9348 8.2144
\t (00:04:05) last pick: 92.9348 8.2144
\t (00:04:05) Pick to complete the window.
\i (00:04:07) pick 144.0205 -22.0260
\t (00:04:07) last pick: 144.0205 -22.0260
\i (00:04:07) trapsize 3313
\i (00:19:28) xrefdes R94
\i (00:19:28) xrefdes R94
\i (00:19:28) xname_flush
\i (00:19:28) trapsize 527
\i (00:20:11) xrefdes R94
\i (00:20:11) xrefdes R94
\i (00:20:11) xname_flush
\i (00:20:11) trapsize 527
\i (00:21:42) xrefdes R94
\i (00:21:42) xrefdes R94
\i (00:21:42) xname_flush
\i (00:21:42) trapsize 527
\i (00:21:46) xrefdes R94
\i (00:21:46) xrefdes R94
\i (00:21:46) xname_flush
\i (00:21:46) trapsize 527
\i (00:23:23) xrefdes R94
\i (00:23:23) xrefdes R94
\i (00:23:23) xname_flush
\i (00:23:23) trapsize 527
\i (00:23:33) xrefdes R94
\i (00:23:33) xrefdes R94
\i (00:23:33) xname_flush
\i (00:23:33) trapsize 527
\i (00:42:26) exit
\t (00:42:26) Journal end - Wed Sep 2 09:40:41 2020
This source diff could not be displayed because it is too large. You can view the blob instead.
......@@ -2,9 +2,9 @@
( )
( Allegro Netrev Import Logic )
( )
( Drawing : fmc_tlu_v1f_29.brd )
( Software Version : 17.2060 )
( Date/Time : Wed Nov 13 11:16:40 2019 )
( Drawing : fmc_tlu_v1f_35.brd )
( Software Version : 17.2S061 )
( Date/Time : Wed Sep 2 14:13:22 2020 )
( )
(---------------------------------------------------------------------)
......@@ -14,24 +14,24 @@
Ripup etch: No
Ripup delete first segment: No
Ripup retain bondwire: No
Ripup symbols: IfSame
Ripup symbols: Always
Missing symbol has error: No
DRC update: Yes
Schematic directory: 'P:\cad\designs\fmc-mtlu\fmc-mtlu-hw\Cadence\worklib\fmc_tlu_toplevel_f\packaged'
Design Directory: 'P:/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/physical'
Old design name: 'P:/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/physical/fmc_tlu_v1f_29.brd'
New design name: 'P:/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/physical/fmc_tlu_v1f_30.brd'
Schematic directory: '/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged'
Design Directory: '/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/physical'
Old design name: '/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/physical/fmc_tlu_v1f_35.brd'
New design name: '/projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/physical/fmc_tlu_v1f_36.brd'
CmdLine: netrev -proj P:\cad\designs\fmc-mtlu\fmc-mtlu-hw\Cadence\fmc_tlu_v1f.cpm -y 2 -O P:\cad\designs\fmc-mtlu\fmc-mtlu-hw\Cadence\worklib\fmc_tlu_toplevel_f\physical\fmc_tlu_v1f_29.brd P:\cad\designs\fmc-mtlu\fmc-mtlu-hw\Cadence\worklib\fmc_tlu_toplevel_f\physical\fmc_tlu_v1f_30.brd -q P:\cad\designs\fmc-mtlu\fmc-mtlu-hw\Cadence\temp\constraints_difference_report.xml -$
CmdLine: netrev -proj /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/fmc_tlu_v1f.cpm -y 1 -O /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/physical/fmc_tlu_v1f_35.brd /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/physical/fmc_tlu_v1f_36.brd -q /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/temp/constraints_difference_report.xml -$
------ Preparing to read pst files ------
Starting to read P:/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstchip.dat
Finished reading P:/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstchip.dat (00:00:00.92)
Starting to read P:/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstxprt.dat
Finished reading P:/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstxprt.dat (00:00:00.04)
Starting to read P:/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstxnet.dat
Finished reading P:/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstxnet.dat (00:00:00.03)
Starting to read /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstchip.dat
Finished reading /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstchip.dat (00:00:01.16)
Starting to read /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstxprt.dat
Finished reading /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstxprt.dat (00:00:00.02)
Starting to read /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstxnet.dat
Finished reading /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstxnet.dat (00:00:00.01)
------ Oversights/Warnings/Errors ------
......@@ -40,88 +40,69 @@ Starting to read P:/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_top
===========================================================
Start Constraint Diff3 Import
Constraint File: P:/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstcmdb.dat
Allegro Baseline: C:/Users/phpgb/AppData/Local/Temp/#Taaaaag17932.tmp
Start time: Wed Nov 13 11:16:42 2019
Constraint File: /projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/worklib/fmc_tlu_toplevel_f/packaged/pstcmdb.dat
Allegro Baseline: /tmp/#Taaaaag17636.tmp
Start time: Wed Sep 2 14:13:23 2020
===========================================================
The constraint difference report file can be viewed using the following command:
cdnFlowOOSMsg.exe -file file:///P:/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/temp/constraints_difference_report.xml
cdnFlowOOSMsg.exe -file file:///projects/HEP_Instrumentation/cad/designs/fmc-mtlu/fmc-mtlu-hw/Cadence/temp/constraints_difference_report.xml
===========================================================
Finished Constraint Update Time: Wed Nov 13 11:16:42 2019
Finished Constraint Update Time: Wed Sep 2 14:13:23 2020
===========================================================
------ Library Paths ------
MODULEPATH = .
c:/cadence/spb_17.2/share/local/pcb/modules
../../pc022a_zeus_tube_shaper/physical
/cadence/psd15.1/share/local/pcb/modules
../../../modules
../../pc022a_zeus_tube_psu/physical
PSMPATH = .
symbols
..
../symbols
c:/cadence/spb_17.2/share/local/pcb/symbols
c:/cadence/spb_17.2/share/pcb/pcb_lib/symbols
c:/cadence/spb_17.2/share/pcb/allegrolib/symbols
P:\cad\bris_cdslib\lib_psd14.x/symbols
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/bga
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/cap
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/chip
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/connector
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/dip
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/discrete
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/icsmd
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/ipc
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/lcc
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/led
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/mec
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/passif
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/pga
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/pwr
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/qfp
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/rel
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/res
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/sip
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/so
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/soic
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/soj
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/sp
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\symbols/sw
P:/PBaesso/rafael/symbols
/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/symbols
/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/formats
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/symbols/connector
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/symbols/soic
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/symbols/discrete
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/symbols/dip
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/symbols/so
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/symbols/bga
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/symbols/qfp
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/symbols/passif
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/symbols/cap
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/symbols/led
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/symbols/rel
/projects/HEP_Instrumentation/cad/ral_cdslib/lib_psd15.x/symbols
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/symbols/sw
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/symbols/mec
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/symbols/pwr
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/symbols/res
./symbols
PADPATH = .
symbols
..
../symbols
c:/cadence/spb_17.2/share/local/pcb/padstacks
c:/cadence/spb_17.2/share/pcb/pcb_lib/symbols
c:/cadence/spb_17.2/share/pcb/allegrolib/symbols
P:\cad\ral_cdslib\lib_psd15.x/pads
P:\cad\bris_cdslib\lib_psd14.x/pads
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\padstacks/mechanical
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\padstacks/orgmechanical
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\padstacks/padchip
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\padstacks/padstack_smd
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\padstacks/padstack3
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\padstacks/padstack3_i3
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\padstacks/padstack4
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\padstacks/padstack4_i3
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\padstacks/padstack4_s3
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\padstacks/padstack5
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\padstacks/padstack5_i3
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\padstacks/padstack5_s3
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\padstacks/padstack6_i3
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\padstacks/padstack6_s3
P:\cad\cern_cdslib\lib_psd17.x\pe_allegro_lib\padstacks/padstackm
/software/CAD/Cadence/SPB17.20.061/share/local/pcb/padstacks
/software/CAD/Cadence/SPB17.20.061/share/pcb/pcb_lib/symbols
/software/CAD/Cadence/SPB17.20.061/share/pcb/allegrolib/symbols
/projects/HEP_Instrumentation/cad/bris_cdslib/lib_psd14.x/pads
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/padstacks/padstack_smd
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/padstacks/padstack3
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/padstacks/padstackm
/projects/HEP_Instrumentation/cad/ral_cdslib/lib_psd15.x/pads
./symbols
/projects/HEP_Instrumentation/cad/cern_cdslib/lib_psd17.x/pe_allegro_lib/padstacks/mechanical
------ Summary Statistics ------
netrev run on Nov 13 11:16:39 2019
netrev run on Sep 2 14:13:21 2020
DESIGN NAME : 'FMC_TLU_TOPLEVEL_F'
PACKAGING ON 13-Nov-2019 AT 11:16:10
PACKAGING ON 02-Sep-2020 AT 14:13:12
COMPILE 'logic'
CHECK_PIN_NAMES OFF
......@@ -153,6 +134,6 @@ netrev run on Nov 13 11:16:39 2019
No oversight detected
No warning detected
cpu time 0:12:18
elapsed time 0:00:06
cpu time 0:00:03
elapsed time 0:00:02
......@@ -110,20 +110,6 @@ DISPLAY INVISIBLE (-4745 3550);
FORCEADD CAPCERSMDCL2..1
R 1
(-2300 -125);
FORCEPROP 1 LASTPIN (-2300 -225) $PN 1
R 1
J 2
(-2325 -225);
DISPLAY 0.723404 (-2325 -225);
PAINT MONO (-2325 -225);
DISPLAY INVISIBLE (-2325 -225);
FORCEPROP 1 LASTPIN (-2300 -25) $PN 2
R 1
J 0
(-2325 -25);
DISPLAY 0.723404 (-2325 -25);
PAINT MONO (-2325 -25);
DISPLAY INVISIBLE (-2325 -25);
FORCEPROP 1 LAST VALUE 100NF
R 1
J 1
......@@ -163,23 +149,23 @@ J 1
(-2150 -125);
DISPLAY 0.723404 (-2150 -125);
DISPLAY INVISIBLE (-2150 -125);
FORCEADD CAPCERSMDCL2..1
FORCEPROP 1 LASTPIN (-2300 -25) $PN 2
R 1
(-2550 -125);
FORCEPROP 1 LASTPIN (-2550 -225) $PN 1
J 0
(-2325 -25);
DISPLAY 0.723404 (-2325 -25);
PAINT MONO (-2325 -25);
DISPLAY INVISIBLE (-2325 -25);
FORCEPROP 1 LASTPIN (-2300 -225) $PN 1
R 1
J 2
(-2575 -225);
DISPLAY 0.723404 (-2575 -225);
PAINT MONO (-2575 -225);
DISPLAY INVISIBLE (-2575 -225);
FORCEPROP 1 LASTPIN (-2550 -25) $PN 2
(-2325 -225);
DISPLAY 0.723404 (-2325 -225);
PAINT MONO (-2325 -225);
DISPLAY INVISIBLE (-2325 -225);
FORCEADD CAPCERSMDCL2..1
R 1
J 0
(-2575 -25);
DISPLAY 0.723404 (-2575 -25);
PAINT MONO (-2575 -25);
DISPLAY INVISIBLE (-2575 -25);
(-2550 -125);
FORCEPROP 1 LAST VALUE 100NF
R 1
J 1
......@@ -219,6 +205,20 @@ J 1
(-2400 -125);
DISPLAY 0.723404 (-2400 -125);
DISPLAY INVISIBLE (-2400 -125);
FORCEPROP 1 LASTPIN (-2550 -25) $PN 2
R 1
J 0
(-2575 -25);
DISPLAY 0.723404 (-2575 -25);
PAINT MONO (-2575 -25);
DISPLAY INVISIBLE (-2575 -25);
FORCEPROP 1 LASTPIN (-2550 -225) $PN 1
R 1
J 2
(-2575 -225);
DISPLAY 0.723404 (-2575 -225);
PAINT MONO (-2575 -225);
DISPLAY INVISIBLE (-2575 -225);
FORCEADD P3V3..1
(-2650 125);
FORCEPROP 3 LASTPIN (-2650 75) SIG_NAME P3V3\g
......@@ -1120,22 +1120,22 @@ DISPLAY INVISIBLE (-3791 380);
FORCEADD RSMD0402..2
R 2
(-3375 1675);
FORCEPROP 1 LAST $LOCATION R94
FORCEPROP 1 LAST PACK_TYPE 0.0625W
J 1
(-3380 1712);
DISPLAY 0.723404 (-3380 1712);
PAINT WHITE (-3380 1712);
FORCEPROP 1 LAST VALUE 0R0
(-3380 1550);
DISPLAY 0.617021 (-3380 1550);
PAINT WHITE (-3380 1550);
DISPLAY INVISIBLE (-3380 1550);
FORCEPROP 1 LAST VALUE XX
J 1
(-3380 1660);
DISPLAY 0.617021 (-3380 1660);
PAINT WHITE (-3380 1660);
FORCEPROP 1 LAST PACK_TYPE 1/16W
FORCEPROP 1 LAST $LOCATION R94
J 1
(-3380 1550);
DISPLAY 0.617021 (-3380 1550);
PAINT WHITE (-3380 1550);
DISPLAY INVISIBLE (-3380 1550);
(-3380 1712);
DISPLAY 0.723404 (-3380 1712);
PAINT WHITE (-3380 1712);
FORCEPROP 1 LAST DIST FLAT
J 2
(-3280 1517);
......@@ -1921,21 +1921,18 @@ J 0
DISPLAY 0.659574 (-115 2860);
PAINT MONO (-115 2860);
DISPLAY INVISIBLE (-115 2860);
FORCEPROP 1 LAST HDL_POWER P3V3
J 1
(-125 2950);
DISPLAY 0.468085 (-125 2950);
PAINT GREEN (-125 2950);
FORCEPROP 2 LAST CDS_LIB cnpower
FORCEPROP 1 LAST SIZE 1B
J 0
(-150 3000);
DISPLAY 0.872340 (-150 3000);
PAINT SKYBLUE (-150 3000);
DISPLAY INVISIBLE (-150 3000);
FORCEPROP 1 LAST BODY_TYPE PLUMBING
J 0
(-125 2900);
DISPLAY 0.468085 (-125 2900);
PAINT GREEN (-125 2900);
DISPLAY INVISIBLE (-125 2900);
FORCEPROP 1 LAST PATH I123
J 0
(-75 2900);
DISPLAY 0.872340 (-75 2900);
PAINT PINK (-75 2900);
DISPLAY INVISIBLE (-75 2900);
FORCEPROP 1 LASTPIN (-125 2850) VHDL_INIT 1
R 1
J 0
......@@ -1943,18 +1940,21 @@ J 0
DISPLAY 0.468085 (-75 2685);
PAINT MONO (-75 2685);
DISPLAY INVISIBLE (-75 2685);
FORCEPROP 1 LAST BODY_TYPE PLUMBING
FORCEPROP 1 LAST PATH I123
J 0
(-75 2900);
DISPLAY 0.872340 (-75 2900);
PAINT PINK (-75 2900);
DISPLAY INVISIBLE (-75 2900);
FORCEPROP 2 LAST CDS_LIB cnpower
J 0
(-125 2900);
DISPLAY 0.468085 (-125 2900);
PAINT GREEN (-125 2900);
DISPLAY INVISIBLE (-125 2900);
FORCEPROP 1 LAST SIZE 1B
J 0
(-150 3000);
DISPLAY 0.872340 (-150 3000);
PAINT SKYBLUE (-150 3000);
DISPLAY INVISIBLE (-150 3000);
FORCEPROP 1 LAST HDL_POWER P3V3
J 1
(-125 2950);
DISPLAY 0.468085 (-125 2950);
PAINT GREEN (-125 2950);
FORCEADD CAPCERSMDCL2..1
R 2
(1150 2900);
......@@ -3792,18 +3792,6 @@ DISPLAY INVISIBLE (-1680 2600);
FORCEADD CAPCERSMDCL2..1
R 2
(-600 3025);
FORCEPROP 1 LASTPIN (-500 3025) $PN 1
J 0
(-500 3050);
DISPLAY 0.723404 (-500 3050);
PAINT MONO (-500 3050);
DISPLAY INVISIBLE (-500 3050);
FORCEPROP 1 LASTPIN (-700 3025) $PN 2
J 2
(-700 3050);
DISPLAY 0.723404 (-700 3050);
PAINT MONO (-700 3050);
DISPLAY INVISIBLE (-700 3050);
FORCEPROP 1 LAST $LOCATION C18
J 2
(-575 3075);
......@@ -3836,6 +3824,18 @@ J 1
(-600 2825);
DISPLAY 0.723404 (-600 2825);
DISPLAY INVISIBLE (-600 2825);
FORCEPROP 1 LASTPIN (-700 3025) $PN 2
J 2
(-700 3050);
DISPLAY 0.723404 (-700 3050);
PAINT MONO (-700 3050);
DISPLAY INVISIBLE (-700 3050);
FORCEPROP 1 LASTPIN (-500 3025) $PN 1
J 0
(-500 3050);
DISPLAY 0.723404 (-500 3050);
PAINT MONO (-500 3050);
DISPLAY INVISIBLE (-500 3050);
FORCEADD RSMD0603..2
(-600 3275);
FORCEPROP 1 LAST TOL
......@@ -5774,20 +5774,6 @@ DISPLAY INVISIBLE (-3850 200);
FORCEADD CAPCERSMDCL2..1
R 1
(-1700 -25);
FORCEPROP 1 LASTPIN (-1700 -125) $PN 1
R 1
J 2
(-1725 -125);
DISPLAY 0.723404 (-1725 -125);
PAINT MONO (-1725 -125);
DISPLAY INVISIBLE (-1725 -125);
FORCEPROP 1 LASTPIN (-1700 75) $PN 2
R 1
J 0
(-1725 75);
DISPLAY 0.723404 (-1725 75);
PAINT MONO (-1725 75);
DISPLAY INVISIBLE (-1725 75);
FORCEPROP 1 LAST VALUE 100NF
R 1
J 1
......@@ -5827,23 +5813,23 @@ J 1
(-1550 -25);
DISPLAY 0.723404 (-1550 -25);
DISPLAY INVISIBLE (-1550 -25);
FORCEADD CAPCERSMDCL2..1
FORCEPROP 1 LASTPIN (-1700 75) $PN 2
R 1
(-1575 -25);
FORCEPROP 1 LASTPIN (-1575 -125) $PN 1
J 0
(-1725 75);
DISPLAY 0.723404 (-1725 75);
PAINT MONO (-1725 75);
DISPLAY INVISIBLE (-1725 75);
FORCEPROP 1 LASTPIN (-1700 -125) $PN 1
R 1
J 2
(-1600 -125);
DISPLAY 0.723404 (-1600 -125);
PAINT MONO (-1600 -125);
DISPLAY INVISIBLE (-1600 -125);
FORCEPROP 1 LASTPIN (-1575 75) $PN 2
(-1725 -125);
DISPLAY 0.723404 (-1725 -125);
PAINT MONO (-1725 -125);
DISPLAY INVISIBLE (-1725 -125);
FORCEADD CAPCERSMDCL2..1
R 1
J 0
(-1600 75);
DISPLAY 0.723404 (-1600 75);
PAINT MONO (-1600 75);
DISPLAY INVISIBLE (-1600 75);
(-1575 -25);
FORCEPROP 1 LAST $LOCATION C8
R 1
J 0
......@@ -5883,23 +5869,23 @@ J 1
(-1425 -25);
DISPLAY 0.723404 (-1425 -25);
DISPLAY INVISIBLE (-1425 -25);
FORCEADD CAPCERSMDCL2..1
FORCEPROP 1 LASTPIN (-1575 75) $PN 2
R 1
(-1450 -25);
FORCEPROP 1 LASTPIN (-1450 -125) $PN 1
J 0
(-1600 75);
DISPLAY 0.723404 (-1600 75);
PAINT MONO (-1600 75);
DISPLAY INVISIBLE (-1600 75);
FORCEPROP 1 LASTPIN (-1575 -125) $PN 1
R 1
J 2
(-1475 -125);
DISPLAY 0.723404 (-1475 -125);
PAINT MONO (-1475 -125);
DISPLAY INVISIBLE (-1475 -125);
FORCEPROP 1 LASTPIN (-1450 75) $PN 2
(-1600 -125);
DISPLAY 0.723404 (-1600 -125);
PAINT MONO (-1600 -125);
DISPLAY INVISIBLE (-1600 -125);
FORCEADD CAPCERSMDCL2..1
R 1
J 0
(-1475 75);
DISPLAY 0.723404 (-1475 75);
PAINT MONO (-1475 75);
DISPLAY INVISIBLE (-1475 75);
(-1450 -25);
FORCEPROP 1 LAST $LOCATION C9
R 1
J 0
......@@ -5939,23 +5925,23 @@ J 1
(-1300 -25);
DISPLAY 0.723404 (-1300 -25);
DISPLAY INVISIBLE (-1300 -25);
FORCEADD CAPCERSMDCL2..1
FORCEPROP 1 LASTPIN (-1450 75) $PN 2
R 1
(-1300 -25);
FORCEPROP 1 LASTPIN (-1300 -125) $PN 1
J 0
(-1475 75);
DISPLAY 0.723404 (-1475 75);
PAINT MONO (-1475 75);
DISPLAY INVISIBLE (-1475 75);
FORCEPROP 1 LASTPIN (-1450 -125) $PN 1
R 1
J 2
(-1325 -125);
DISPLAY 0.723404 (-1325 -125);
PAINT MONO (-1325 -125);
DISPLAY INVISIBLE (-1325 -125);
FORCEPROP 1 LASTPIN (-1300 75) $PN 2
(-1475 -125);
DISPLAY 0.723404 (-1475 -125);
PAINT MONO (-1475 -125);
DISPLAY INVISIBLE (-1475 -125);
FORCEADD CAPCERSMDCL2..1
R 1
J 0
(-1325 75);
DISPLAY 0.723404 (-1325 75);
PAINT MONO (-1325 75);
DISPLAY INVISIBLE (-1325 75);
(-1300 -25);
FORCEPROP 1 LAST VALUE 100NF
R 1
J 1
......@@ -5995,6 +5981,20 @@ J 1
(-1150 -25);
DISPLAY 0.723404 (-1150 -25);
DISPLAY INVISIBLE (-1150 -25);
FORCEPROP 1 LASTPIN (-1300 75) $PN 2
R 1
J 0
(-1325 75);
DISPLAY 0.723404 (-1325 75);
PAINT MONO (-1325 75);
DISPLAY INVISIBLE (-1325 75);
FORCEPROP 1 LASTPIN (-1300 -125) $PN 1
R 1
J 2
(-1325 -125);
DISPLAY 0.723404 (-1325 -125);
PAINT MONO (-1325 -125);
DISPLAY INVISIBLE (-1325 -125);
FORCEADD GND_SIGNAL..1
(-1575 -475);
FORCEPROP 3 LASTPIN (-1525 -425) SIG_NAME GND_SIGNAL\g
......@@ -6802,16 +6802,16 @@ PAINT WHITE (-4745 3665);
DISPLAY INVISIBLE (-4745 3665);
FORCEADD RSMD0603..2
(50 3750);
FORCEPROP 1 LAST VALUE 100
J 1
(55 3735);
DISPLAY 0.617021 (55 3735);
PAINT WHITE (55 3735);
FORCEPROP 1 LAST TOL 1%
J 1
(55 3690);
DISPLAY 0.617021 (55 3690);
PAINT WHITE (55 3690);
FORCEPROP 1 LAST VALUE XX
J 1
(55 3735);
DISPLAY 0.617021 (55 3735);
PAINT WHITE (55 3735);
FORCEPROP 1 LAST $LOCATION R53
J 1
(55 3787);
......@@ -6944,20 +6944,6 @@ DISPLAY INVISIBLE (-3800 1225);
FORCEADD CAPCERSMDCL2..1
R 1
(-3050 -125);
FORCEPROP 1 LASTPIN (-3050 -225) $PN 1
R 1
J 2
(-3075 -225);
DISPLAY 0.723404 (-3075 -225);
PAINT MONO (-3075 -225);
DISPLAY INVISIBLE (-3075 -225);
FORCEPROP 1 LASTPIN (-3050 -25) $PN 2
R 1
J 0
(-3075 -25);
DISPLAY 0.723404 (-3075 -25);
PAINT MONO (-3075 -25);
DISPLAY INVISIBLE (-3075 -25);
FORCEPROP 1 LAST VALUE 1NF_X7R
R 1
J 1
......@@ -6997,23 +6983,23 @@ J 1
(-2900 -125);
DISPLAY 0.723404 (-2900 -125);
DISPLAY INVISIBLE (-2900 -125);
FORCEADD CAPCERSMDCL2..1
FORCEPROP 1 LASTPIN (-3050 -25) $PN 2
R 1
(-2800 -125);
FORCEPROP 1 LASTPIN (-2800 -225) $PN 1
J 0
(-3075 -25);
DISPLAY 0.723404 (-3075 -25);
PAINT MONO (-3075 -25);
DISPLAY INVISIBLE (-3075 -25);
FORCEPROP 1 LASTPIN (-3050 -225) $PN 1
R 1
J 2
(-2825 -225);
DISPLAY 0.723404 (-2825 -225);
PAINT MONO (-2825 -225);
DISPLAY INVISIBLE (-2825 -225);
FORCEPROP 1 LASTPIN (-2800 -25) $PN 2
(-3075 -225);
DISPLAY 0.723404 (-3075 -225);
PAINT MONO (-3075 -225);
DISPLAY INVISIBLE (-3075 -225);
FORCEADD CAPCERSMDCL2..1
R 1
J 0
(-2825 -25);
DISPLAY 0.723404 (-2825 -25);
PAINT MONO (-2825 -25);
DISPLAY INVISIBLE (-2825 -25);
(-2800 -125);
FORCEPROP 1 LAST VALUE 1NF_X7R
R 1
J 1
......@@ -7053,6 +7039,20 @@ J 1
(-2650 -125);
DISPLAY 0.723404 (-2650 -125);
DISPLAY INVISIBLE (-2650 -125);
FORCEPROP 1 LASTPIN (-2800 -25) $PN 2
R 1
J 0
(-2825 -25);
DISPLAY 0.723404 (-2825 -25);
PAINT MONO (-2825 -25);
DISPLAY INVISIBLE (-2825 -25);
FORCEPROP 1 LASTPIN (-2800 -225) $PN 1
R 1
J 2
(-2825 -225);
DISPLAY 0.723404 (-2825 -225);
PAINT MONO (-2825 -225);
DISPLAY INVISIBLE (-2825 -225);
FORCEADD CAPCERSMDCL2..1
R 1
(-4950 -250);
......@@ -7167,7 +7167,11 @@ PAINT WHITE (-4655 -270);
DISPLAY INVISIBLE (-4655 -270);
FORCEADD BRIS_STD_A3..1
(-5375 -850);
FORCEPROP 1 LAST CDS_CON_LAST_MODIFIED Wed Jan 08 10:55:52 2020
FORCEPROP 1 LAST CDS_CON_LAST_MODIFIED Wed Sep 2 12:46:00 2020
J 0
(2000 -475);
DISPLAY INVISIBLE (2000 -475);
FORCEPROP 1 LAST CDS_CON_LAST_MODIFIED Wed Sep 2 12:29:22 2020
J 0
(1925 -475);
DISPLAY INVISIBLE (1925 -475);
......@@ -7206,10 +7210,6 @@ J 0
(-650 -500);
DISPLAY 1.021277 (-650 -500);
PAINT GREEN (-650 -500);
FORCEPROP 1 LAST CDS_CON_LAST_MODIFIED Mon Jul 08 17:31:25 2019
J 0
(2000 -475);
DISPLAY INVISIBLE (2000 -475);
FORCEPROP 0 LAST PAGENAME SFP INTERFACE
J 0
(-5375 -850);
......@@ -7236,292 +7236,334 @@ J 0
DISPLAY 0.468085 (-5375 -850);
PAINT GREEN (-5375 -850);
DISPLAY INVISIBLE (-5375 -850);
WIRE 16 -1 (-2650 75)(-2650 50);
WIRE 16 -1 (-2650 -400)(-2650 -300);
WIRE 16 -1 (-4825 -50)(-4825 -75);
WIRE 16 -1 (-4850 -400)(-4850 -500);
WIRE 16 -1 (-4850 -400)(-4725 -400);
WIRE 16 -1 (-4950 -400)(-4850 -400);
WIRE 16 -1 (-3600 1775)(-3600 1675);
WIRE 16 -1 (-125 2800)(-125 2850);
WIRE 16 -1 (50 2800)(-125 2800);
WIRE 16 -1 (675 2675)(675 2650);
WIRE 16 -1 (675 2250)(675 2325);
WIRE 16 -1 (-2625 3750)(-2625 3725);
WIRE 16 -1 (-1625 3700)(-1625 3675);
WIRE 16 -1 (-1525 3700)(-1625 3700);
WIRE 16 -1 (-2150 2675)(-2150 2725);
WIRE 16 -1 (-700 2775)(-700 2675);
WIRE 16 -1 (-800 2775)(-700 2775);
WIRE 16 -1 (-1825 3000)(-1825 2900);
WIRE 16 -1 (-1475 2500)(-1475 2600);
WIRE 16 -1 (-350 3275)(-350 3250);
WIRE 16 -1 (-350 3275)(-500 3275);
WIRE 16 -1 (-1875 1050)(-1875 925);
WIRE 16 -1 (-1875 1050)(-1775 1050);
WIRE 16 -1 (-1875 1050)(-1975 1050);
WIRE 16 -1 (-3175 1875)(-3175 1850);
WIRE 16 -1 (-3125 1875)(-3175 1875);
WIRE 16 -1 (-3000 975)(-3000 1000);
WIRE 16 -1 (-3275 800)(-3275 775);
WIRE 16 -1 (-4175 1275)(-4175 1200);
WIRE 16 -1 (-4075 1275)(-4175 1275);
WIRE 16 -1 (-4250 1275)(-4175 1275);
WIRE 16 -1 (-4900 3725)(-4900 3925);
WIRE 16 -1 (-4900 3675)(-4900 3725);
WIRE 16 -1 (-4850 3725)(-4900 3725);
WIRE 16 -1 (-3800 175)(-3800 100);
WIRE 16 -1 (-3700 175)(-3800 175);
WIRE 16 -1 (-3875 175)(-3800 175);
WIRE 16 -1 (-1525 -300)(-1525 -425);
WIRE 16 -1 (-1450 -300)(-1525 -300);
WIRE 16 -1 (-1525 -300)(-1575 -300);
WIRE 16 -1 (-1525 150)(-1525 200);
WIRE 16 -1 (-1525 150)(-1575 150);
WIRE 16 -1 (-1450 150)(-1525 150);
WIRE 16 -1 (-4400 3125)(-4400 3100);
WIRE 16 -1 (-4400 3275)(-4400 3125);
WIRE 16 -1 (-4400 3125)(-4600 3125);
WIRE 16 -1 (-300 1625)(-300 1675);
WIRE 16 -1 (-225 1625)(-300 1625);
WIRE 16 -1 (-300 1625)(-350 1625);
WIRE 16 -1 (-300 1175)(-300 1050);
WIRE 16 -1 (-225 1175)(-300 1175);
WIRE 16 -1 (-300 1175)(-350 1175);
WIRE 16 -1 (350 1150)(350 1025);
WIRE 16 -1 (425 1150)(350 1150);
WIRE 16 -1 (350 1150)(300 1150);
WIRE 16 -1 (-3225 3125)(-3225 3100);
WIRE 16 -1 (-3225 3275)(-3225 3125);
WIRE 16 -1 (-3075 3125)(-3225 3125);
WIRE 16 -1 (350 1600)(350 1650);
WIRE 16 -1 (425 1600)(350 1600);
WIRE 16 -1 (350 1600)(300 1600);
WIRE 16 -1 (-3775 1000)(-3775 1075);
WIRE 16 -1 (-3775 1000)(-3700 1000);
WIRE 16 -1 (-3875 1000)(-3775 1000);
WIRE 16 -1 (-3875 225)(-3875 175);
WIRE 16 -1 (-3700 225)(-3700 175);
WIRE 16 -1 (350 1600)(350 1650);
WIRE 16 -1 (425 1600)(350 1600);
WIRE 16 -1 (350 1600)(300 1600);
WIRE 16 -1 (-3225 3125)(-3225 3100);
WIRE 16 -1 (-3225 3275)(-3225 3125);
WIRE 16 -1 (-3075 3125)(-3225 3125);
WIRE 16 -1 (350 1150)(350 1025);
WIRE 16 -1 (425 1150)(350 1150);
WIRE 16 -1 (350 1150)(300 1150);
WIRE 16 -1 (-300 1175)(-300 1050);
WIRE 16 -1 (-225 1175)(-300 1175);
WIRE 16 -1 (-300 1175)(-350 1175);
WIRE 16 -1 (-300 1625)(-300 1675);
WIRE 16 -1 (-225 1625)(-300 1625);
WIRE 16 -1 (-300 1625)(-350 1625);
WIRE 16 -1 (-4400 3125)(-4400 3100);
WIRE 16 -1 (-4400 3275)(-4400 3125);
WIRE 16 -1 (-4400 3125)(-4600 3125);
WIRE 16 -1 (-1525 150)(-1525 200);
WIRE 16 -1 (-1525 150)(-1575 150);
WIRE 16 -1 (-1450 150)(-1525 150);
WIRE 16 -1 (-1525 -300)(-1525 -425);
WIRE 16 -1 (-1450 -300)(-1525 -300);
WIRE 16 -1 (-1525 -300)(-1575 -300);
WIRE 16 -1 (-3800 175)(-3800 100);
WIRE 16 -1 (-3700 175)(-3800 175);
WIRE 16 -1 (-3875 175)(-3800 175);
WIRE 16 -1 (-4900 3725)(-4900 3925);
WIRE 16 -1 (-4900 3675)(-4900 3725);
WIRE 16 -1 (-4850 3725)(-4900 3725);
WIRE 16 -1 (-4175 1275)(-4175 1200);
WIRE 16 -1 (-4075 1275)(-4175 1275);
WIRE 16 -1 (-4250 1275)(-4175 1275);
WIRE 16 -1 (-3275 800)(-3275 775);
WIRE 16 -1 (-3000 975)(-3000 1000);
WIRE 16 -1 (-3175 1875)(-3175 1850);
WIRE 16 -1 (-3125 1875)(-3175 1875);
WIRE 16 -1 (-1875 1050)(-1875 925);
WIRE 16 -1 (-1875 1050)(-1775 1050);
WIRE 16 -1 (-1875 1050)(-1975 1050);
WIRE 16 -1 (-350 3275)(-350 3250);
WIRE 16 -1 (-350 3275)(-500 3275);
WIRE 16 -1 (-1475 2500)(-1475 2600);
WIRE 16 -1 (-1825 3000)(-1825 2900);
WIRE 16 -1 (-700 2775)(-700 2675);
WIRE 16 -1 (-800 2775)(-700 2775);
WIRE 16 -1 (-2150 2675)(-2150 2725);
WIRE 16 -1 (-1625 3700)(-1625 3675);
WIRE 16 -1 (-1525 3700)(-1625 3700);
WIRE 16 -1 (-2625 3750)(-2625 3725);
WIRE 16 -1 (675 2250)(675 2325);
WIRE 16 -1 (675 2675)(675 2650);
WIRE 16 -1 (-125 2800)(-125 2850);
WIRE 16 -1 (50 2800)(-125 2800);
WIRE 16 -1 (-3600 1775)(-3600 1675);
WIRE 16 -1 (-4850 -400)(-4850 -500);
WIRE 16 -1 (-4850 -400)(-4725 -400);
WIRE 16 -1 (-4950 -400)(-4850 -400);
WIRE 16 -1 (-4825 -50)(-4825 -75);
WIRE 16 -1 (-2650 -400)(-2650 -300);
WIRE 16 -1 (-2650 75)(-2650 50);
WIRE 16 -1 (-800 2900)(-800 2975);
WIRE 16 -1 (-425 3025)(-500 3025);
WIRE 16 -1 (-800 2900)(-425 2900);
WIRE 16 -1 (-425 2900)(-425 3025);
WIRE 16 -1 (-800 3525)(175 3525);
FORCEPROP 2 LAST SIG_NAME DATA_FROM_CDR_N
J 0
(-560 3535);
DISPLAY 0.510638 (-560 3535);
WIRE 16 -1 (150 3750)(175 3750);
WIRE 16 -1 (175 3525)(175 3750);
WIRE 16 -1 (175 3750)(475 3750);
WIRE 16 -1 (-3050 -25)(-3050 50);
WIRE 16 -1 (-2300 -25)(-2300 50);
WIRE 16 -1 (-2550 50)(-2550 -25);
WIRE 16 -1 (-2300 50)(-2550 50);
WIRE 16 -1 (-2800 -25)(-2800 50);
WIRE 16 -1 (-2800 50)(-3050 50);
WIRE 16 -1 (-2800 50)(-2650 50);
WIRE 16 -1 (-2550 50)(-2550 -25);
WIRE 16 -1 (-2550 50)(-2650 50);
WIRE 16 -1 (-2300 50)(-2550 50);
WIRE 16 -1 (-2300 -25)(-2300 50);
WIRE 16 -1 (-3050 -300)(-3050 -225);
WIRE 16 -1 (-2300 -225)(-2300 -300);
WIRE 16 -1 (-2550 -225)(-2550 -300);
WIRE 16 -1 (-2550 -300)(-2300 -300);
WIRE 16 -1 (-3050 -300)(-2800 -300);
WIRE 16 -1 (-2800 -225)(-2800 -300);
WIRE 16 -1 (-2800 -300)(-2650 -300);
WIRE 16 -1 (-2550 -300)(-2650 -300);
WIRE 16 -1 (-2550 -225)(-2550 -300);
WIRE 16 -1 (-2550 -300)(-2300 -300);
WIRE 16 -1 (-2300 -225)(-2300 -300);
WIRE 16 -1 (-1700 -125)(-1700 -300);
WIRE 16 -1 (-1700 -300)(-1575 -300);
WIRE 16 -1 (-1575 -125)(-1575 -300);
WIRE 16 -1 (-1300 -300)(-1300 -125);
WIRE 16 -1 (-1450 -300)(-1300 -300);
WIRE 16 -1 (-1450 -125)(-1450 -300);
WIRE 16 -1 (-1300 150)(-1300 75);
WIRE 16 -1 (-1450 150)(-1300 150);
WIRE 16 -1 (-1450 75)(-1450 150);
WIRE 16 -1 (-1700 75)(-1700 150);
WIRE 16 -1 (-1700 150)(-1575 150);
WIRE 16 -1 (-1575 75)(-1575 150);
WIRE 16 -1 (-800 3025)(-700 3025);
WIRE 16 -1 (-800 2900)(-800 2975);
WIRE 16 -1 (-800 2900)(-425 2900);
WIRE 16 -1 (-425 2900)(-425 3025);
WIRE 16 -1 (-425 3025)(-500 3025);
WIRE 16 -1 (175 3425)(350 3425);
WIRE 16 -1 (175 3425)(175 3175);
WIRE 16 -1 (175 3175)(-25 3175);
WIRE 16 -1 (-25 3175)(-25 2975);
WIRE 16 -1 (-25 2975)(50 2975);
WIRE 16 -1 (850 3375)(1050 3375);
WIRE 16 -1 (850 3325)(1050 3325);
WIRE 16 -1 (1875 3100)(2025 3100);
WIRE 16 -1 (1875 3350)(1875 3100);
WIRE 16 -1 (1300 3100)(1875 3100);
WIRE 16 -1 (1300 3200)(1300 3100);
WIRE 16 -1 (1350 3200)(1300 3200);
WIRE 16 -1 (1250 3325)(1300 3325);
WIRE 16 -1 (1300 3325)(1300 3200);
FORCEPROP 2 LAST SIG_NAME CLK_FROM_CDR2_N
J 0
(1865 3110);
DISPLAY 0.510638 (1865 3110);
WIRE 16 -1 (1725 3350)(1725 3200);
WIRE 16 -1 (2025 3200)(1725 3200);
WIRE 16 -1 (1550 3200)(1625 3200);
WIRE 16 -1 (1625 3200)(1725 3200);
FORCEPROP 2 LAST SIG_NAME CLK_FROM_CDR2_P
J 0
(1865 3210);
DISPLAY 0.510638 (1865 3210);
WIRE 16 -1 (1250 3375)(1625 3375);
WIRE 16 -1 (1625 3375)(1625 3200);
WIRE 16 -1 (1425 2825)(1350 2825);
WIRE 16 -1 (1850 2625)(1850 2900);
WIRE 16 -1 (1850 2900)(2050 2900);
FORCEPROP 2 LAST SIG_NAME CLK_FROM_CDR_P
J 0
(1865 2910);
DISPLAY 0.638298 (1865 2910);
WIRE 16 -1 (1250 2900)(1350 2900);
WIRE 16 -1 (1350 2825)(1350 2900);
WIRE 16 -1 (1350 2900)(1850 2900);
WIRE 16 -1 (1025 3275)(850 3275);
WIRE 16 -1 (1025 2900)(1050 2900);
WIRE 16 -1 (1025 2900)(1025 3275);
WIRE 16 -1 (950 3225)(850 3225);
WIRE 16 -1 (950 2750)(950 3225);
WIRE 16 -1 (1050 2750)(950 2750);
WIRE 16 -1 (50 3425)(-25 3425);
WIRE 16 -1 (50 3325)(50 3425);
WIRE 16 -1 (350 3325)(50 3325);
WIRE 16 -1 (0 3325)(-25 3325);
WIRE 16 -1 (350 3275)(0 3275);
WIRE 16 -1 (0 3275)(0 3325);
WIRE 16 -1 (-275 3325)(-225 3325);
WIRE 16 -1 (-275 3375)(-275 3325);
WIRE 16 -1 (-800 3375)(-275 3375);
WIRE 16 -1 (-800 3425)(-225 3425);
WIRE 16 -1 (150 3750)(175 3750);
WIRE 16 -1 (175 3750)(475 3750);
WIRE 16 -1 (175 3525)(175 3750);
WIRE 16 -1 (-800 3525)(175 3525);
FORCEPROP 2 LAST SIG_NAME DATA_FROM_CDR_N
J 0
(-560 3535);
DISPLAY 0.510638 (-560 3535);
WIRE 16 -1 (250 2800)(275 2800);
WIRE 16 -1 (275 2975)(250 2975);
WIRE 16 -1 (275 2800)(275 2975);
WIRE 16 -1 (275 3175)(275 2975);
WIRE 16 -1 (275 3175)(350 3175);
WIRE 16 -1 (600 2375)(600 2325);
WIRE 16 -1 (750 2325)(750 2375);
WIRE 16 -1 (600 2325)(675 2325);
WIRE 16 -1 (675 2325)(750 2325);
WIRE 16 -1 (1950 2625)(1950 2750);
WIRE 16 -1 (1950 2750)(2075 2750);
WIRE 16 -1 (1250 2750)(1750 2750);
WIRE 16 -1 (1750 2750)(1950 2750);
FORCEPROP 2 LAST SIG_NAME CLK_FROM_CDR_N
J 0
(1865 2760);
DISPLAY 0.638298 (1865 2760);
WIRE 16 -1 (1750 2825)(1750 2750);
WIRE 16 -1 (1625 2825)(1750 2825);
WIRE 16 -1 (600 2650)(600 2575);
WIRE 16 -1 (675 2650)(600 2650);
WIRE 16 -1 (750 2575)(750 2650);
WIRE 16 -1 (750 2650)(675 2650);
WIRE 16 -1 (-800 3125)(-400 3125);
FORCEPROP 2 LAST SIG_NAME CDR_LOL
J 0
(-635 3135);
DISPLAY 0.510638 (-635 3135);
WIRE 16 -1 (175 1525)(175 1600);
WIRE 16 -1 (175 1600)(300 1600);
WIRE 16 -1 (300 1525)(300 1600);
WIRE 16 -1 (575 1600)(575 1550);
WIRE 16 -1 (425 1600)(575 1600);
WIRE 16 -1 (425 1525)(425 1600);
WIRE 16 -1 (-475 1550)(-475 1625);
WIRE 16 -1 (-475 1625)(-350 1625);
WIRE 16 -1 (-350 1550)(-350 1625);
WIRE 16 -1 (-75 1625)(-75 1550);
WIRE 16 -1 (-225 1625)(-75 1625);
WIRE 16 -1 (-225 1550)(-225 1625);
WIRE 16 -1 (175 1325)(175 1150);
WIRE 16 -1 (175 1150)(300 1150);
WIRE 16 -1 (300 1325)(300 1150);
WIRE 16 -1 (425 1325)(425 1150);
WIRE 16 -1 (425 1150)(575 1150);
WIRE 16 -1 (575 1150)(575 1350);
WIRE 16 -1 (475 3850)(-75 3850);
WIRE 16 -1 (-50 3750)(-75 3750);
WIRE 16 -1 (-75 3850)(-75 3750);
WIRE 16 -1 (-75 3750)(-75 3575);
WIRE 16 -1 (-800 3575)(-75 3575);
FORCEPROP 2 LAST SIG_NAME DATA_FROM_CDR_P
J 0
(-560 3585);
DISPLAY 0.510638 (-560 3585);
WIRE 16 -1 (-475 1350)(-475 1175);
WIRE 16 -1 (-475 1175)(-350 1175);
WIRE 16 -1 (-350 1350)(-350 1175);
WIRE 16 -1 (-75 1175)(-75 1350);
WIRE 16 -1 (-225 1175)(-75 1175);
WIRE 16 -1 (-225 1350)(-225 1175);
WIRE 16 -1 (-1525 1425)(-1075 1425);
FORCEPROP 0 LAST SIG_NAME SFP_TD_N
J 1
(-1150 1435);
DISPLAY 0.808511 (-1150 1435);
WIRE 16 -1 (-1400 3375)(-1850 3375);
WIRE 16 -1 (-1975 1050)(-1975 1150);
WIRE 16 -1 (-1775 1050)(-1775 1150);
WIRE 16 -1 (-4725 -150)(-4725 -75);
WIRE 16 -1 (-4950 -75)(-4950 -150);
WIRE 16 -1 (-4825 -75)(-4950 -75);
WIRE 16 -1 (-4725 -75)(-4825 -75);
WIRE 16 -1 (-4725 -150)(-4725 -75);
WIRE 16 -1 (-4950 -400)(-4950 -350);
WIRE 16 -1 (-4725 -400)(-4725 -350);
WIRE 16 -1 (-3475 1425)(-3600 1425);
WIRE 16 -1 (-3600 1425)(-3600 1675);
WIRE 16 -1 (-3475 1675)(-3600 1675);
WIRE 16 -1 (750 2575)(750 2650);
WIRE 16 -1 (600 2650)(600 2575);
WIRE 16 -1 (675 2650)(600 2650);
WIRE 16 -1 (750 2650)(675 2650);
WIRE 16 -1 (750 2325)(750 2375);
WIRE 16 -1 (600 2375)(600 2325);
WIRE 16 -1 (600 2325)(675 2325);
WIRE 16 -1 (675 2325)(750 2325);
WIRE 16 -1 (-2650 3425)(-2625 3425);
WIRE 16 -1 (-2700 3525)(-2625 3525);
WIRE 16 -1 (-2625 3525)(-2625 3425);
WIRE 16 -1 (-2625 3725)(-2625 3525);
WIRE 16 -1 (-2700 3725)(-2625 3725);
WIRE 16 -1 (-1400 3525)(-1525 3525);
WIRE 16 -1 (-1525 3525)(-1525 3575);
WIRE 16 -1 (-1400 3575)(-1525 3575);
WIRE 16 -1 (-1525 3575)(-1525 3700);
WIRE 16 -1 (-2150 2725)(-2150 3025);
WIRE 16 -1 (-2050 2725)(-2150 2725);
WIRE 16 -1 (-1950 2850)(-1950 2725);
WIRE 16 -1 (-2050 2925)(-2050 2725);
WIRE 16 -1 (-1950 2725)(-2050 2725);
WIRE 16 -1 (-1950 2850)(-1950 2725);
WIRE 16 -1 (-2150 2725)(-2150 3025);
WIRE 16 -1 (-2050 2725)(-2150 2725);
WIRE 16 -1 (-1400 2825)(-1825 2825);
WIRE 16 -1 (-1775 2900)(-1825 2900);
WIRE 16 -1 (-1825 2825)(-1825 2900);
WIRE 16 -1 (-1400 2825)(-1825 2825);
WIRE 16 -1 (-1775 2725)(-1825 2725);
WIRE 16 -1 (-1400 2775)(-1475 2775);
WIRE 16 -1 (-1825 2725)(-1825 2600);
WIRE 16 -1 (-1475 2600)(-1825 2600);
WIRE 16 -1 (-1400 2775)(-1475 2775);
WIRE 16 -1 (-1475 2775)(-1475 2600);
WIRE 16 -1 (-1975 1050)(-1975 1150);
WIRE 16 -1 (-1775 1050)(-1775 1150);
WIRE 16 -1 (-4250 1325)(-4250 1275);
WIRE 16 -1 (-4075 1325)(-4075 1275);
WIRE 16 -1 (-4900 3425)(-4850 3425);
WIRE 16 -1 (-4850 3675)(-4900 3675);
WIRE 16 -1 (-4900 3425)(-4900 3525);
WIRE 16 -1 (-4425 3525)(-4900 3525);
WIRE 16 -1 (-4900 3625)(-4900 3525);
WIRE 16 -1 (-4900 3625)(-4900 3675);
WIRE 16 -1 (-4850 3625)(-4900 3625);
WIRE 16 -1 (-4600 3175)(-4600 3125);
WIRE 16 -1 (-4850 3675)(-4900 3675);
WIRE 16 -1 (-4900 3625)(-4900 3675);
WIRE 16 -1 (-3875 225)(-3875 175);
WIRE 16 -1 (-3700 225)(-3700 175);
WIRE 16 -1 (-1700 -125)(-1700 -300);
WIRE 16 -1 (-1300 -300)(-1300 -125);
WIRE 16 -1 (-1700 -300)(-1575 -300);
WIRE 16 -1 (-1575 -125)(-1575 -300);
WIRE 16 -1 (-1450 -300)(-1300 -300);
WIRE 16 -1 (-1450 -125)(-1450 -300);
WIRE 16 -1 (-1300 150)(-1300 75);
WIRE 16 -1 (-1450 150)(-1300 150);
WIRE 16 -1 (-1450 75)(-1450 150);
WIRE 16 -1 (-1700 75)(-1700 150);
WIRE 16 -1 (-1700 150)(-1575 150);
WIRE 16 -1 (-1575 75)(-1575 150);
WIRE 16 -1 (-4100 3375)(-4400 3375);
WIRE 16 -1 (-4100 3275)(-4400 3275);
WIRE 16 -1 (-4400 3325)(-4400 3275);
WIRE 16 -1 (-4400 3375)(-4400 3325);
WIRE 16 -1 (-4100 3325)(-4400 3325);
WIRE 16 -1 (-4600 3175)(-4600 3125);
WIRE 16 -1 (-4100 3275)(-4400 3275);
WIRE 16 -1 (-4400 3325)(-4400 3275);
WIRE 16 -1 (-475 1550)(-475 1625);
WIRE 16 -1 (-75 1625)(-75 1550);
WIRE 16 -1 (-475 1625)(-350 1625);
WIRE 16 -1 (-350 1550)(-350 1625);
WIRE 16 -1 (-225 1625)(-75 1625);
WIRE 16 -1 (-225 1550)(-225 1625);
WIRE 16 -1 (-475 1350)(-475 1175);
WIRE 16 -1 (-75 1175)(-75 1350);
WIRE 16 -1 (-475 1175)(-350 1175);
WIRE 16 -1 (-350 1350)(-350 1175);
WIRE 16 -1 (-225 1175)(-75 1175);
WIRE 16 -1 (-225 1350)(-225 1175);
WIRE 16 -1 (175 1325)(175 1150);
WIRE 16 -1 (575 1150)(575 1350);
WIRE 16 -1 (175 1150)(300 1150);
WIRE 16 -1 (300 1325)(300 1150);
WIRE 16 -1 (425 1325)(425 1150);
WIRE 16 -1 (425 1150)(575 1150);
WIRE 16 -1 (-2900 3125)(-2900 3150);
WIRE 16 -1 (-3400 3375)(-3225 3375);
WIRE 16 -1 (-3400 3325)(-3225 3325);
WIRE 16 -1 (-3225 3375)(-3225 3325);
WIRE 16 -1 (-3075 3150)(-3075 3125);
WIRE 16 -1 (-3075 3125)(-2900 3125);
WIRE 16 -1 (-3400 3275)(-3225 3275);
WIRE 16 -1 (-3400 3325)(-3225 3325);
WIRE 16 -1 (-3225 3325)(-3225 3275);
WIRE 16 -1 (-3400 3375)(-3225 3375);
WIRE 16 -1 (-3225 3375)(-3225 3325);
WIRE 16 -1 (175 1525)(175 1600);
WIRE 16 -1 (575 1600)(575 1550);
WIRE 16 -1 (175 1600)(300 1600);
WIRE 16 -1 (300 1525)(300 1600);
WIRE 16 -1 (425 1600)(575 1600);
WIRE 16 -1 (425 1525)(425 1600);
WIRE 16 -1 (-3875 950)(-3875 1000);
WIRE 16 -1 (-3700 1000)(-3700 950);
WIRE 16 -1 (-2650 3425)(-2625 3425);
WIRE 16 -1 (-2700 3525)(-2625 3525);
WIRE 16 -1 (-2625 3525)(-2625 3425);
WIRE 16 -1 (-2625 3725)(-2625 3525);
WIRE 16 -1 (-2700 3725)(-2625 3725);
WIRE 16 -1 (475 3850)(-75 3850);
WIRE 16 -1 (-50 3750)(-75 3750);
WIRE 16 -1 (-75 3850)(-75 3750);
WIRE 16 -1 (-75 3750)(-75 3575);
WIRE 16 -1 (-800 3575)(-75 3575);
FORCEPROP 2 LAST SIG_NAME DATA_FROM_CDR_P
J 0
(-560 3585);
DISPLAY 0.510638 (-560 3585);
WIRE 16 -1 (-3075 1525)(-2750 1525);
WIRE 16 -1 (-3075 1525)(-3075 1675);
WIRE 16 -1 (-3075 1675)(-3000 1675);
WIRE 16 -1 (-3275 1675)(-3075 1675);
WIRE 16 -1 (-4825 700)(-3875 700);
WIRE 16 -1 (-3875 425)(-3875 700);
WIRE 16 -1 (-3875 750)(-3875 700);
WIRE 16 -1 (-3550 700)(-3875 700);
WIRE 16 -1 (-3550 1375)(-3550 700);
FORCEPROP 2 LAST SIG_NAME DATA_TO_FFD_P
J 0
(-4810 710);
DISPLAY 0.638298 (-4810 710);
WIRE 16 -1 (-2750 1375)(-3550 1375);
WIRE 16 -1 (-4825 550)(-3700 550);
WIRE 16 -1 (-3700 425)(-3700 550);
WIRE 16 -1 (-3700 750)(-3700 550);
WIRE 16 -1 (-3700 550)(-3475 550);
WIRE 16 -1 (-3475 1325)(-3475 550);
FORCEPROP 2 LAST SIG_NAME DATA_TO_FFD_N
J 0
(-4810 560);
DISPLAY 0.638298 (-4810 560);
WIRE 16 -1 (-2750 1325)(-3475 1325);
WIRE 16 -1 (-4250 1525)(-4250 1575);
WIRE 16 -1 (-2750 1575)(-4250 1575);
WIRE 16 -1 (-4650 1575)(-4250 1575);
FORCEPROP 2 LAST SIG_NAME CLK_TO_FFD_N
J 0
(-4560 1585);
DISPLAY 0.638298 (-4560 1585);
WIRE 16 -1 (-4075 1525)(-4075 1625);
WIRE 16 -1 (-2750 1625)(-4075 1625);
WIRE 16 -1 (-4075 1625)(-4075 1700);
WIRE 16 -1 (-4650 1700)(-4075 1700);
FORCEPROP 2 LAST SIG_NAME CLK_TO_FFD_P
J 0
(-4560 1710);
DISPLAY 0.638298 (-4560 1710);
WIRE 16 -1 (-2850 1775)(-2750 1775);
WIRE 16 -1 (-2850 1875)(-2850 1775);
WIRE 16 -1 (-2850 1875)(-2925 1875);
WIRE 16 -1 (-800 3025)(-700 3025);
WIRE 16 -1 (-25 2975)(50 2975);
WIRE 16 -1 (-25 3175)(-25 2975);
WIRE 16 -1 (175 3175)(-25 3175);
WIRE 16 -1 (175 3425)(350 3425);
WIRE 16 -1 (175 3425)(175 3175);
WIRE 16 -1 (850 3375)(1050 3375);
WIRE 16 -1 (850 3325)(1050 3325);
WIRE 16 -1 (1875 3100)(2025 3100);
WIRE 16 -1 (1875 3350)(1875 3100);
WIRE 16 -1 (1300 3100)(1875 3100);
WIRE 16 -1 (1300 3200)(1300 3100);
WIRE 16 -1 (1350 3200)(1300 3200);
WIRE 16 -1 (1250 3325)(1300 3325);
WIRE 16 -1 (1300 3325)(1300 3200);
FORCEPROP 2 LAST SIG_NAME CLK_FROM_CDR2_N
J 0
(1865 3110);
DISPLAY 0.510638 (1865 3110);
WIRE 16 -1 (1725 3350)(1725 3200);
WIRE 16 -1 (2025 3200)(1725 3200);
WIRE 16 -1 (1550 3200)(1625 3200);
WIRE 16 -1 (1625 3200)(1725 3200);
FORCEPROP 2 LAST SIG_NAME CLK_FROM_CDR2_P
J 0
(1865 3210);
DISPLAY 0.510638 (1865 3210);
WIRE 16 -1 (1250 3375)(1625 3375);
WIRE 16 -1 (1625 3375)(1625 3200);
WIRE 16 -1 (1425 2825)(1350 2825);
WIRE 16 -1 (1850 2625)(1850 2900);
WIRE 16 -1 (1850 2900)(2050 2900);
FORCEPROP 2 LAST SIG_NAME CLK_FROM_CDR_P
J 0
(1865 2910);
DISPLAY 0.638298 (1865 2910);
WIRE 16 -1 (1250 2900)(1350 2900);
WIRE 16 -1 (1350 2825)(1350 2900);
WIRE 16 -1 (1350 2900)(1850 2900);
WIRE 16 -1 (1025 3275)(850 3275);
WIRE 16 -1 (1025 2900)(1050 2900);
WIRE 16 -1 (1025 2900)(1025 3275);
WIRE 16 -1 (950 3225)(850 3225);
WIRE 16 -1 (1050 2750)(950 2750);
WIRE 16 -1 (950 2750)(950 3225);
WIRE 16 -1 (50 3425)(-25 3425);
WIRE 16 -1 (350 3325)(50 3325);
WIRE 16 -1 (50 3325)(50 3425);
WIRE 16 -1 (0 3325)(-25 3325);
WIRE 16 -1 (350 3275)(0 3275);
WIRE 16 -1 (0 3275)(0 3325);
WIRE 16 -1 (-275 3325)(-225 3325);
WIRE 16 -1 (-800 3375)(-275 3375);
WIRE 16 -1 (-275 3375)(-275 3325);
WIRE 16 -1 (-800 3425)(-225 3425);
WIRE 16 -1 (275 3175)(350 3175);
WIRE 16 -1 (275 2975)(250 2975);
WIRE 16 -1 (275 3175)(275 2975);
WIRE 16 -1 (275 2800)(275 2975);
WIRE 16 -1 (250 2800)(275 2800);
WIRE 16 -1 (1950 2625)(1950 2750);
WIRE 16 -1 (1950 2750)(2075 2750);
WIRE 16 -1 (1250 2750)(1750 2750);
WIRE 16 -1 (1750 2750)(1950 2750);
FORCEPROP 2 LAST SIG_NAME CLK_FROM_CDR_N
J 0
(1865 2760);
DISPLAY 0.638298 (1865 2760);
WIRE 16 -1 (1625 2825)(1750 2825);
WIRE 16 -1 (1750 2825)(1750 2750);
WIRE 16 -1 (-800 3125)(-400 3125);
FORCEPROP 2 LAST SIG_NAME CDR_LOL
J 0
(-635 3135);
DISPLAY 0.510638 (-635 3135);
WIRE 16 -1 (-1525 1425)(-1075 1425);
FORCEPROP 0 LAST SIG_NAME SFP_TD_N
J 1
(-1150 1435);
DISPLAY 0.808511 (-1150 1435);
WIRE 16 -1 (-1400 3375)(-1850 3375);
WIRE 16 -1 (-3400 3825)(-3200 3825);
WIRE 16 -1 (-3200 3825)(-3200 3925);
WIRE 16 -1 (-2275 3925)(-3200 3925);
......@@ -7555,75 +7597,33 @@ WIRE 16 -1 (-2150 3275)(-2150 3225);
WIRE 16 -1 (-1400 3275)(-2150 3275);
WIRE 16 -1 (-1400 3450)(-1850 3450);
WIRE 16 -1 (-1400 3425)(-1400 3450);
WIRE 16 -1 (-4250 1525)(-4250 1575);
WIRE 16 -1 (-2750 1575)(-4250 1575);
WIRE 16 -1 (-4650 1575)(-4250 1575);
FORCEPROP 2 LAST SIG_NAME CLK_TO_FFD_N
J 0
(-4560 1585);
DISPLAY 0.638298 (-4560 1585);
WIRE 16 -1 (-4075 1525)(-4075 1625);
WIRE 16 -1 (-2750 1625)(-4075 1625);
WIRE 16 -1 (-4075 1625)(-4075 1700);
WIRE 16 -1 (-4650 1700)(-4075 1700);
FORCEPROP 2 LAST SIG_NAME CLK_TO_FFD_P
J 0
(-4560 1710);
DISPLAY 0.638298 (-4560 1710);
WIRE 16 -1 (-4825 550)(-3700 550);
WIRE 16 -1 (-3700 425)(-3700 550);
WIRE 16 -1 (-3700 750)(-3700 550);
WIRE 16 -1 (-3700 550)(-3475 550);
WIRE 16 -1 (-3475 1325)(-3475 550);
FORCEPROP 2 LAST SIG_NAME DATA_TO_FFD_N
J 0
(-4810 560);
DISPLAY 0.638298 (-4810 560);
WIRE 16 -1 (-2750 1325)(-3475 1325);
WIRE 16 -1 (-2750 1125)(-2875 1125);
WIRE 16 -1 (-3000 750)(-3000 775);
WIRE 16 -1 (-2875 750)(-3000 750);
WIRE 16 -1 (-2875 1125)(-2875 750);
WIRE 16 -1 (-2750 1125)(-2875 1125);
WIRE 16 -1 (-1975 1350)(-1975 1525);
WIRE 16 -1 (-2875 750)(-3000 750);
WIRE 16 -1 (-1725 1525)(-1975 1525);
WIRE 16 -1 (-2250 1525)(-1975 1525);
WIRE 16 -1 (-4825 700)(-3875 700);
WIRE 16 -1 (-3875 425)(-3875 700);
WIRE 16 -1 (-3875 750)(-3875 700);
WIRE 16 -1 (-3550 700)(-3875 700);
WIRE 16 -1 (-3550 1375)(-3550 700);
FORCEPROP 2 LAST SIG_NAME DATA_TO_FFD_P
J 0
(-4810 710);
DISPLAY 0.638298 (-4810 710);
WIRE 16 -1 (-2750 1375)(-3550 1375);
WIRE 16 -1 (-1975 1350)(-1975 1525);
WIRE 16 -1 (-3275 1175)(-3275 1000);
WIRE 16 -1 (-2750 1175)(-3275 1175);
WIRE 16 -1 (-3000 1275)(-3075 1275);
WIRE 16 -1 (-3075 1275)(-3075 1425);
WIRE 16 -1 (-3075 1425)(-2750 1425);
WIRE 16 -1 (-3275 1425)(-3075 1425);
WIRE 16 -1 (-3075 1525)(-2750 1525);
WIRE 16 -1 (-3075 1525)(-3075 1675);
WIRE 16 -1 (-3075 1675)(-3000 1675);
WIRE 16 -1 (-3275 1675)(-3075 1675);
WIRE 16 -1 (-3075 1275)(-3075 1425);
WIRE 16 -1 (-3000 1275)(-3075 1275);
WIRE 16 -1 (-2750 1275)(-2800 1275);
WIRE 16 -1 (-1775 1350)(-1775 1425);
WIRE 16 -1 (-1775 1425)(-1725 1425);
WIRE 16 -1 (-2250 1425)(-1775 1425);
WIRE 16 -1 (-1775 1350)(-1775 1425);
WIRE 16 -1 (-2750 1675)(-2800 1675);
WIRE 16 -1 (-2850 1775)(-2750 1775);
WIRE 16 -1 (-2850 1875)(-2850 1775);
WIRE 16 -1 (-2850 1875)(-2925 1875);
WIRE 16 -1 (-1550 2725)(-1575 2725);
WIRE 16 -1 (-1550 2925)(-1400 2925);
WIRE 16 -1 (-1550 2900)(-1550 2725);
WIRE 16 -1 (-1550 2725)(-1575 2725);
WIRE 16 -1 (-1575 2900)(-1550 2900);
WIRE 16 -1 (-1550 2900)(-1550 2925);
WIRE 16 -1 (-1550 2900)(-1550 2725);
WIRE 16 -1 (-2050 3175)(-2050 3125);
WIRE 16 -1 (-1950 3175)(-2050 3175);
WIRE 16 -1 (-1950 3050)(-1950 3175);
WIRE 16 -1 (-1400 3175)(-1950 3175);
WIRE 16 -1 (-1950 3175)(-2050 3175);
WIRE 16 -1 (-1400 3125)(-1725 3125);
FORCEPROP 2 LAST SIG_NAME CDR_LOS
J 0
......@@ -7684,86 +7684,91 @@ FORCEPROP 2 LAST SIG_NAME SFP_TX_DISABLE
J 0
(-4585 3735);
DISPLAY 0.638298 (-4585 3735);
DOT 1 (1625 3200);
DOT 1 (1725 3200);
DOT 1 (1875 3100);
DOT 1 (1350 2900);
DOT 1 (1300 3200);
DOT 1 (1950 2750);
DOT 1 (675 2650);
DOT 1 (1850 2900);
DOT 1 (-4075 1625);
DOT 1 (-3600 1675);
DOT 1 (-3775 1000);
DOT 1 (-3875 700);
DOT 1 (-3075 1425);
DOT 1 (-1775 1425);
DOT 1 (-4175 1275);
DOT 1 (-3075 1675);
DOT 1 (175 3750);
DOT 1 (425 1150);
DOT 1 (350 1150);
DOT 1 (-1525 3575);
DOT 1 (-1950 3175);
DOT 1 (-1475 2600);
DOT 1 (-1825 2900);
DOT 1 (-225 1625);
DOT 1 (-350 1625);
DOT 1 (-350 1175);
DOT 1 (-300 1175);
DOT 1 (-1875 1050);
DOT 1 (-1525 150);
DOT 1 (-2625 3725);
DOT 1 (-2900 3425);
DOT 1 (-2050 2725);
DOT 1 (-2150 2725);
DOT 1 (-3075 3425);
DOT 1 (-3075 3125);
DOT 1 (-3225 3325);
DOT 1 (-3225 3275);
DOT 1 (-3225 3125);
DOT 1 (-4400 3325);
DOT 1 (-4400 3275);
DOT 1 (-4400 3125);
DOT 1 (-4600 3425);
DOT 1 (-4900 3725);
DOT 1 (-4900 3675);
DOT 1 (-4900 3625);
DOT 1 (-4900 3525);
DOT 1 (-1450 -300);
DOT 1 (-1525 -300);
DOT 1 (-1575 -300);
DOT 1 (-2650 -300);
DOT 1 (-4825 -75);
DOT 1 (-4850 -400);
DOT 1 (-3800 175);
DOT 1 (-4250 1575);
DOT 1 (-1975 1525);
DOT 1 (-2800 50);
DOT 1 (-3700 550);
DOT 1 (-2625 3525);
DOT 1 (-75 3750);
DOT 1 (-1550 2900);
DOT 1 (275 2975);
DOT 1 (-225 1175);
DOT 1 (-300 1625);
DOT 1 (300 1600);
DOT 1 (425 1600);
DOT 1 (350 1600);
DOT 1 (300 1150);
DOT 1 (675 2325);
DOT 1 (1750 2750);
DOT 1 (-1575 150);
DOT 1 (-1450 150);
DOT 1 (-2800 -300);
DOT 1 (-2550 50);
DOT 1 (-2650 50);
DOT 1 (-2550 -300);
DOT 1 (-2650 50);
DOT 1 (-2550 50);
DOT 1 (-2800 -300);
DOT 1 (-1450 150);
DOT 1 (-1575 150);
DOT 1 (1750 2750);
DOT 1 (675 2325);
DOT 1 (300 1150);
DOT 1 (350 1600);
DOT 1 (425 1600);
DOT 1 (300 1600);
DOT 1 (-300 1625);
DOT 1 (-225 1175);
DOT 1 (275 2975);
DOT 1 (-1550 2900);
DOT 1 (-75 3750);
DOT 1 (-2625 3525);
DOT 1 (-3700 550);
DOT 1 (-2800 50);
DOT 1 (-1975 1525);
DOT 1 (-4250 1575);
DOT 1 (-3800 175);
DOT 1 (-4850 -400);
DOT 1 (-4825 -75);
DOT 1 (-2650 -300);
DOT 1 (-1575 -300);
DOT 1 (-1525 -300);
DOT 1 (-1450 -300);
DOT 1 (-4900 3525);
DOT 1 (-4900 3625);
DOT 1 (-4900 3675);
DOT 1 (-4900 3725);
DOT 1 (-4600 3425);
DOT 1 (-4400 3125);
DOT 1 (-4400 3275);
DOT 1 (-4400 3325);
DOT 1 (-3225 3125);
DOT 1 (-3225 3275);
DOT 1 (-3225 3325);
DOT 1 (-3075 3125);
DOT 1 (-3075 3425);
DOT 1 (-2150 2725);
DOT 1 (-2050 2725);
DOT 1 (-2900 3425);
DOT 1 (-2625 3725);
DOT 1 (-1525 150);
DOT 1 (-1875 1050);
DOT 1 (-300 1175);
DOT 1 (-350 1175);
DOT 1 (-350 1625);
DOT 1 (-225 1625);
DOT 1 (-1825 2900);
DOT 1 (-1475 2600);
DOT 1 (-1950 3175);
DOT 1 (-1525 3575);
DOT 1 (350 1150);
DOT 1 (425 1150);
DOT 1 (175 3750);
DOT 1 (-3075 1675);
DOT 1 (-4175 1275);
DOT 1 (-1775 1425);
DOT 1 (-3075 1425);
DOT 1 (-3875 700);
DOT 1 (-3775 1000);
DOT 1 (-3600 1675);
DOT 1 (-4075 1625);
DOT 1 (1850 2900);
DOT 1 (675 2650);
DOT 1 (1950 2750);
DOT 1 (1300 3200);
DOT 1 (1350 2900);
DOT 1 (1875 3100);
DOT 1 (1725 3200);
DOT 1 (1625 3200);
FORCENOTE
FIND REPLACEMENT
(-1575 4025) 0;
DISPLAY LEFT (-1575 4025);
DISPLAY 1.021277 (-1575 4025);
SN65LVDS2 OR SN65LVDT2
(125 4300) 0;
DISPLAY LEFT (125 4300);
DISPLAY 0.808511 (125 4300);
FORCENOTE
UNFORTUNATELY ENCLUSTRA AX3+PM3 REQUIRES TERMINATION ON PCB
(300 3700) 0;
DISPLAY LEFT (300 3700);
DISPLAY 0.638298 (300 3700);
FORCENOTE
IC8 (ADN2814ACPZ) NO LONGER STOCK.
(-1575 4100) 0;
......@@ -7775,28 +7780,28 @@ USE TERMINATION IN FPGA IF POSSIBLE
DISPLAY LEFT (300 3775);
DISPLAY 1.021277 (300 3775);
FORCENOTE
SN65LVDS2 OR SN65LVDT2
(125 4300) 0;
DISPLAY LEFT (125 4300);
DISPLAY 0.808511 (125 4300);
MAKE SURE THE FOOTPRINT FOR THIS IS CORRECT!
(-2600 675) 0;
DISPLAY LEFT (-2600 675);
DISPLAY 1.021277 (-2600 675);
FORCENOTE
SN65LVDT2 HAS TERMINATION.
(125 4250) 0;
DISPLAY LEFT (125 4250);
DISPLAY 0.808511 (125 4250);
CDR (I2C ADDR= 0X60)
(-1450 3900) 0;
DISPLAY LEFT (-1450 3900);
DISPLAY 1.021277 (-1450 3900);
FORCENOTE
SN65LVDS2 REQUIRES EXTERNAL TERMINATION.
(125 4200) 0;
DISPLAY LEFT (125 4200);
DISPLAY 0.808511 (125 4200);
FORCENOTE
CDR (I2C ADDR= 0X60)
(-1450 3900) 0;
DISPLAY LEFT (-1450 3900);
DISPLAY 1.021277 (-1450 3900);
SN65LVDT2 HAS TERMINATION.
(125 4250) 0;
DISPLAY LEFT (125 4250);
DISPLAY 0.808511 (125 4250);
FORCENOTE
MAKE SURE THE FOOTPRINT FOR THIS IS CORRECT!
(-2600 675) 0;
DISPLAY LEFT (-2600 675);
DISPLAY 1.021277 (-2600 675);
FIND REPLACEMENT
(-1575 4025) 0;
DISPLAY LEFT (-1575 4025);
DISPLAY 1.021277 (-1575 4025);
QUIT
FILE_TYPE = CONNECTIVITY;
{Allegro Design Entry HDL 17.2-2016 060 (3822212) 10/1/2019}
{Allegro Design Entry HDL 17.2-2016 S061 (3825862) 10/28/2019}
"PAGE_NUMBER" = 7;
0"NC";
1"GND_SIGNAL\g";
2"GND_SIGNAL\g";
1"UN$7$ADN2814$I3$CF2";
2"DATA_FROM_CDR_N";
3"P3V3\g";
4"GND_SIGNAL\g";
5"GND_SIGNAL\g";
6"P3V3\g";
7"GND_SIGNAL\g";
5"P3V3\g";
6"GND_SIGNAL\g";
7"P3V3\g";
8"P3V3\g";
9"GND_SIGNAL\g";
10"GND_SIGNAL\g";
11"P3V3\g";
12"UN$7$ADN2814$I3$CF1";
13"UN$7$ADN2814$I3$CF2";
14"UN$7$NB6N11S$I121$VTD";
15"UN$7$CAPCERSMDCL2$I40$A";
16"UN$7$CAPCERSMDCL2$I39$A";
17"CLK_FROM_CDR2_N";
18"CLK_FROM_CDR2_P";
19"CLK_FROM_CDR_P";
20"UN$7$CAPCERSMDCL2$I124$B";
21"UN$7$CAPCERSMDCL2$I125$A";
22"UN$7$NB6N11S$I121$D$1";
23"UN$7$NB6N11S$I121$D";
24"UN$7$ADN2814$I3$CLKOUTN";
25"UN$7$ADN2814$I3$CLKOUTP";
26"DATA_FROM_CDR_N";
27"UN$7$NB6N11S$I121$VTD$1";
10"P3V3\g";
11"GND_SIGNAL\g";
12"GND_SIGNAL\g";
13"GND_SIGNAL\g";
14"P3V3\g";
15"GND_SIGNAL\g";
16"GND_SIGNAL\g";
17"GND_SIGNAL\g";
18"GND_SIGNAL\g";
19"P3V3\g";
20"GND_SIGNAL\g";
21"GND_SIGNAL\g";
22"P3V3\g";
23"GND_SIGNAL\g";
24"GND_SIGNAL\g";
25"P3V3\g";
26"GND_SIGNAL\g";
27"P3V3\g";
28"GND_SIGNAL\g";
29"CLK_FROM_CDR_N";
30"P3V3\g";
31"CDR_LOL";
29"GND_SIGNAL\g";
30"GND_SIGNAL\g";
31"P3V3\g";
32"P3V3\g";
33"P3V3\g";
34"GND_SIGNAL\g";
35"DATA_FROM_CDR_P";
36"GND_SIGNAL\g";
37"SFP_TD_N";
38"UN$7$ADN2814$I3$PIN";
39"GND_SIGNAL\g";
40"P3V3\g";
41"GND_SIGNAL\g";
42"P3V3\g";
43"GND_SIGNAL\g";
44"GND_SIGNAL\g";
45"P3V3\g";
46"GND_SIGNAL\g";
47"GND_SIGNAL\g";
48"P3V3\g";
49"GND_SIGNAL\g";
50"GND_SIGNAL\g";
51"P3V3\g";
52"P3V3\g";
53"SFP_RD_N";
54"SFP_RD_P";
55"UN$7$ADN2814$I3$SQUELCH";
56"SDA";
57"SCL";
58"UN$7$ADN2814$I3$VREF";
59"UN$7$ADN2814$I3$NIN";
60"CLK_TO_FFD_N";
61"CLK_TO_FFD_P";
62"DATA_TO_FFD_N";
63"UN$7$NBSG53AMOD$I2$SEL";
64"UN$7$CAPCERSMDCL2$I45$A";
65"DATA_TO_FFD_P";
66"UN$7$NBSG53AMOD$I2$R";
67"UN$7$NBSG53AMOD$I2$VTD";
68"UN$7$NBSG53AMOD$I2$VTCLK";
69"UN$7$NBSG53AMOD$I2$VTD$1";
70"UN$7$CAPCERSMDCL2$I46$A";
71"UN$7$NBSG53AMOD$I2$VTCLK$1";
72"UN$7$NBSG53AMOD$I2$OLS";
34"DATA_FROM_CDR_P";
35"UN$7$NBSG53AMOD$I2$VTCLK";
36"DATA_TO_FFD_P";
37"DATA_TO_FFD_N";
38"CLK_TO_FFD_N";
39"CLK_TO_FFD_P";
40"UN$7$NBSG53AMOD$I2$OLS";
41"UN$7$ADN2814$I3$CF1";
42"UN$7$NB6N11S$I121$VTD";
43"UN$7$CAPCERSMDCL2$I40$A";
44"UN$7$CAPCERSMDCL2$I39$A";
45"CLK_FROM_CDR2_N";
46"CLK_FROM_CDR2_P";
47"CLK_FROM_CDR_P";
48"UN$7$CAPCERSMDCL2$I124$B";
49"UN$7$CAPCERSMDCL2$I125$A";
50"UN$7$NB6N11S$I121$D$1";
51"UN$7$NB6N11S$I121$D";
52"UN$7$ADN2814$I3$CLKOUTN";
53"UN$7$ADN2814$I3$CLKOUTP";
54"UN$7$NB6N11S$I121$VTD$1";
55"CLK_FROM_CDR_N";
56"CDR_LOL";
57"SFP_TD_N";
58"UN$7$ADN2814$I3$PIN";
59"SFP_RD_N";
60"SFP_RD_P";
61"UN$7$ADN2814$I3$SQUELCH";
62"SDA";
63"SCL";
64"UN$7$ADN2814$I3$VREF";
65"UN$7$ADN2814$I3$NIN";
66"UN$7$NBSG53AMOD$I2$SEL";
67"UN$7$CAPCERSMDCL2$I45$A";
68"UN$7$NBSG53AMOD$I2$R";
69"UN$7$NBSG53AMOD$I2$VTD";
70"UN$7$NBSG53AMOD$I2$VTD$1";
71"UN$7$CAPCERSMDCL2$I46$A";
72"UN$7$NBSG53AMOD$I2$VTCLK$1";
73"UN$7$ADN2814$I3$SADDRS";
74"UN$7$ADN2814$I3$THRADJ";
75"CDR_LOS";
......@@ -115,7 +115,7 @@ VOLTAGE"RVMAX"
CDS_LIB"cnpassive"
PACK_TYPE"1/10W";
"A <SIZE-1..0>\NAC"
$PN"1"48;
$PN"1"22;
"B <SIZE-1..0>\NAC"
$PN"2"77;
%"CAPCERSMDCL2"
......@@ -131,9 +131,9 @@ CDS_LIB"cnpassive"
PACK_TYPE"0402"
VOLTAGE"16V_GEN";
"A <SIZE-1..0>\NAC"
$PN"1"9;
$PN"1"4;
"B <SIZE-1..0>\NAC"
$PN"2"8;
$PN"2"3;
%"CAPCERSMDCL2"
"1","(-2550,-125)","1","cnpassive","I101";
;
......@@ -147,9 +147,9 @@ CDS_LIB"cnpassive"
PACK_TYPE"0402"
VOLTAGE"16V_GEN";
"A <SIZE-1..0>\NAC"
$PN"1"9;
$PN"1"4;
"B <SIZE-1..0>\NAC"
$PN"2"8;
$PN"2"3;
%"P3V3"
"1","(-2650,125)","0","cnpower","I102";
;
......@@ -158,14 +158,14 @@ BODY_TYPE"PLUMBING"
SIZE"1B"
CDS_LIB"cnpower";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"8;
VHDL_INIT"1"3;
%"GND_SIGNAL"
"1","(-2700,-450)","0","standard","I103";
;
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL"
CDS_LIB"standard";
"GND"9;
"GND"4;
%"P3V3"
"1","(-4825,0)","0","cnpower","I104";
;
......@@ -174,14 +174,14 @@ CDS_LIB"cnpower"
SIZE"1B"
BODY_TYPE"PLUMBING";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"40;
VHDL_INIT"1"5;
%"GND_SIGNAL"
"1","(-4900,-550)","0","standard","I105";
;
CDS_LIB"standard"
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING";
"GND"41;
"GND"6;
%"RSMD0603"
"2","(-1950,3450)","0","cnpassive","I106";
;
......@@ -205,9 +205,9 @@ TC2"RTMPQ"
TOL_ON_OFF"ON"
VOLTAGE"RVMAX";
"A <SIZE-1..0>\NAC"
$PN"1"54;
$PN"1"60;
"B <SIZE-1..0>\NAC"
$PN"2"59;
$PN"2"65;
%"RSMD0603"
"2","(-1950,3375)","0","cnpassive","I107";
;
......@@ -231,9 +231,9 @@ TC2"RTMPQ"
TOL_ON_OFF"ON"
VOLTAGE"RVMAX";
"A <SIZE-1..0>\NAC"
$PN"1"53;
$PN"1"59;
"B <SIZE-1..0>\NAC"
$PN"2"38;
$PN"2"58;
%"RSMD0402"
"2","(-3700,850)","1","cnpassive","I108";
;
......@@ -257,9 +257,9 @@ TC2"RTMPQ"
TOL_ON_OFF"ON"
VOLTAGE"RVMAX";
"A <SIZE-1..0>\NAC"
$PN"1"62;
$PN"1"37;
"B <SIZE-1..0>\NAC"
$PN"2"51;
$PN"2"32;
%"RSMD0402"
"2","(-3700,325)","1","cnpassive","I109";
;
......@@ -283,9 +283,9 @@ TC2"RTMPQ"
TOL_ON_OFF"ON"
VOLTAGE"RVMAX";
"A <SIZE-1..0>\NAC"
$PN"1"7;
$PN"1"23;
"B <SIZE-1..0>\NAC"
$PN"2"62;
$PN"2"37;
%"RSMD0603"
"2","(-4750,3625)","0","cnpassive","I11";
;
......@@ -309,7 +309,7 @@ VOLTAGE"RVMAX"
CDS_LIB"cnpassive"
PACK_TYPE"1/10W";
"A <SIZE-1..0>\NAC"
$PN"1"48;
$PN"1"22;
"B <SIZE-1..0>\NAC"
$PN"2"87;
%"RSMD0402"
......@@ -335,9 +335,9 @@ TC2"RTMPQ"
TOL_ON_OFF"ON"
VOLTAGE"RVMAX";
"A <SIZE-1..0>\NAC"
$PN"1"65;
$PN"1"36;
"B <SIZE-1..0>\NAC"
$PN"2"51;
$PN"2"32;
%"RSMD0402"
"2","(-3875,325)","1","cnpassive","I111";
;
......@@ -361,18 +361,18 @@ TC2"RTMPQ"
TOL_ON_OFF"ON"
VOLTAGE"RVMAX";
"A <SIZE-1..0>\NAC"
$PN"1"7;
$PN"1"23;
"B <SIZE-1..0>\NAC"
$PN"2"65;
$PN"2"36;
%"RSMD0402"
"2","(-3375,1675)","2","cnpassive","I112";
;
PACK_TYPE"0.0625W"
VALUE"XX"
CDS_LOCATION"R94"
CDS_SEC"1"
$SEC"1"
$LOCATION"R94"
VALUE"0R0"
PACK_TYPE"1/16W"
DIST"FLAT"
MAX_TEMP"RTMAX"
NEGTOL"RTOL%"
......@@ -387,9 +387,9 @@ VOLTAGE"RVMAX"
CDS_LIB"cnpassive"
TOL"1%";
"A <SIZE-1..0>\NAC"
$PN"1"68;
$PN"1"35;
"B <SIZE-1..0>\NAC"
$PN"2"42;
$PN"2"7;
%"RSMD0402"
"2","(-3375,1425)","2","cnpassive","I113";
;
......@@ -413,9 +413,9 @@ VOLTAGE"RVMAX"
CDS_LIB"cnpassive"
TOL"1%";
"A <SIZE-1..0>\NAC"
$PN"1"67;
$PN"1"69;
"B <SIZE-1..0>\NAC"
$PN"2"42;
$PN"2"7;
%"P3V3"
"1","(-3600,1825)","0","cnpower","I114";
;
......@@ -424,7 +424,7 @@ SIZE"1B"
BODY_TYPE"PLUMBING"
CDS_LIB"cnpower";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"42;
VHDL_INIT"1"7;
%"RSMD0603"
"2","(-125,3425)","0","cnpassive","I116";
;
......@@ -448,9 +448,9 @@ TC2"RTMPQ"
TOL_ON_OFF"ON"
VOLTAGE"RVMAX";
"A <SIZE-1..0>\NAC"
$PN"1"25;
$PN"1"53;
"B <SIZE-1..0>\NAC"
$PN"2"22;
$PN"2"50;
%"RSMD0603"
"2","(-125,3325)","0","cnpassive","I117";
;
......@@ -474,9 +474,9 @@ TOL_ON_OFF"ON"
VOLTAGE"RVMAX"
CDS_LIB"cnpassive";
"A <SIZE-1..0>\NAC"
$PN"1"24;
$PN"1"52;
"B <SIZE-1..0>\NAC"
$PN"2"23;
$PN"2"51;
%"RSMD0603"
"2","(150,2800)","0","cnpassive","I119";
;
......@@ -500,9 +500,9 @@ PACK_TYPE"1/10W"
CDS_LIB"cnpassive"
TOL"1%";
"A <SIZE-1..0>\NAC"
$PN"1"6;
$PN"1"33;
"B <SIZE-1..0>\NAC"
$PN"2"27;
$PN"2"54;
%"RSMD0603"
"2","(-2800,3525)","0","cnpassive","I12";
;
......@@ -528,7 +528,7 @@ PACK_TYPE"1/10W";
"A <SIZE-1..0>\NAC"
$PN"1"80;
"B <SIZE-1..0>\NAC"
$PN"2"52;
$PN"2"10;
%"NB6N11S"
"1","(600,3325)","0","cninterface","I121";
;
......@@ -541,21 +541,21 @@ TYPE"NB6N11SMNG"
POWER_GROUP"VEE=GND_SIGNAL;VCC=P3V3"
CDS_LIB"cninterface";
"Q<0>"
$PN"1"15;
$PN"1"43;
"Q<0>*"
$PN"2"16;
$PN"2"44;
"Q<1>*"
$PN"4"21;
$PN"4"49;
"Q<1>"
$PN"3"20;
$PN"3"48;
"VTD* \B"
$PN"9"27;
$PN"9"54;
"D* \B"
$PN"10"23;
$PN"10"51;
"D"
$PN"11"22;
$PN"11"50;
"VTD"
$PN"12"14;
$PN"12"42;
%"RSMD0603"
"2","(150,2975)","0","cnpassive","I122";
;
......@@ -579,18 +579,18 @@ DIST"FLAT"
PACK_TYPE""
CDS_LIB"cnpassive";
"A <SIZE-1..0>\NAC"
$PN"1"14;
$PN"1"42;
"B <SIZE-1..0>\NAC"
$PN"2"27;
$PN"2"54;
%"P3V3"
"1","(-125,2900)","0","cnpower","I123";
;
HDL_POWER"P3V3"
CDS_LIB"cnpower"
SIZE"1B"
BODY_TYPE"PLUMBING"
SIZE"1B";
CDS_LIB"cnpower"
HDL_POWER"P3V3";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"6;
VHDL_INIT"1"33;
%"CAPCERSMDCL2"
"1","(1150,2900)","2","cnpassive","I124";
;
......@@ -604,9 +604,9 @@ VOLTAGE"16V_GEN"
PACK_TYPE"0402"
SIZE"1";
"A <SIZE-1..0>\NAC"
$PN"1"19;
$PN"1"47;
"B <SIZE-1..0>\NAC"
$PN"2"20;
$PN"2"48;
%"CAPCERSMDCL2"
"1","(1150,2750)","0","cnpassive","I125";
;
......@@ -620,9 +620,9 @@ SIZE"1"
PACK_TYPE"0402"
VOLTAGE"16V_GEN";
"A <SIZE-1..0>\NAC"
$PN"1"21;
$PN"1"49;
"B <SIZE-1..0>\NAC"
$PN"2"29;
$PN"2"55;
%"RSMD0603"
"2","(1525,2825)","2","cnpassive","I126";
;
......@@ -646,9 +646,9 @@ VOLTAGE"RVMAX"
TOL"1%"
CDS_LIB"cnpassive";
"A <SIZE-1..0>\NAC"
$PN"1"29;
$PN"1"55;
"B <SIZE-1..0>\NAC"
$PN"2"19;
$PN"2"47;
%"CAPCERSMDCL2"
"1","(750,2475)","1","cnpassive","I127";
;
......@@ -662,9 +662,9 @@ VOLTAGE"16V_GEN"
PACK_TYPE"0402"
SIZE"1";
"A <SIZE-1..0>\NAC"
$PN"1"28;
$PN"1"9;
"B <SIZE-1..0>\NAC"
$PN"2"30;
$PN"2"8;
%"CAPCERSMDCL2"
"1","(600,2475)","1","cnpassive","I128";
;
......@@ -678,9 +678,9 @@ PACK_TYPE"0402"
VOLTAGE"50V"
CDS_LIB"cnpassive";
"A <SIZE-1..0>\NAC"
$PN"1"28;
$PN"1"9;
"B <SIZE-1..0>\NAC"
$PN"2"30;
$PN"2"8;
%"P3V3"
"1","(675,2725)","0","cnpower","I129";
;
......@@ -689,7 +689,7 @@ BODY_TYPE"PLUMBING"
SIZE"1B"
CDS_LIB"cnpower";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"30;
VHDL_INIT"1"8;
%"RSMD0603"
"2","(-2800,3725)","0","cnpassive","I13";
;
......@@ -715,14 +715,14 @@ PACK_TYPE"1/10W";
"A <SIZE-1..0>\NAC"
$PN"1"82;
"B <SIZE-1..0>\NAC"
$PN"2"52;
$PN"2"10;
%"GND_SIGNAL"
"1","(625,2200)","0","standard","I130";
;
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL"
CDS_LIB"standard";
"GND"28;
"GND"9;
%"TP"
"1","(1850,2575)","3","cnpassive","I131";
;
......@@ -736,7 +736,7 @@ PART_NAME"TP"
PACK_TYPE"HOLE"
VALUE"0.8MM";
"A <SIZE-1..0>\NAC"
$PN"1"19;
$PN"1"47;
%"TP"
"1","(1950,2575)","3","cnpassive","I132";
;
......@@ -750,14 +750,14 @@ PACK_TYPE"HOLE"
VALUE"0.8MM"
PART_NAME"TP";
"A <SIZE-1..0>\NAC"
$PN"1"29;
$PN"1"55;
%"GND_SIGNAL"
"1","(2000,2475)","0","standard","I133";
;
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING"
CDS_LIB"standard";
"GND"90;
"GND"89;
%"TP"
"1","(2050,2575)","1","cnpassive","I134";
;
......@@ -771,7 +771,7 @@ PACK_TYPE"HOLE"
VALUE"0.8MM"
CDS_LIB"cnpassive";
"A <SIZE-1..0>\NAC"
$PN"1"90;
$PN"1"89;
%"RSMD0603"
"2","(-4325,3525)","0","cnpassive","I14";
;
......@@ -795,7 +795,7 @@ VOLTAGE"RVMAX"
CDS_LIB"cnpassive"
PACK_TYPE"1/10W";
"A <SIZE-1..0>\NAC"
$PN"1"48;
$PN"1"22;
"B <SIZE-1..0>\NAC"
$PN"2"85;
%"P3V3"
......@@ -806,7 +806,7 @@ SIZE"1B"
BODY_TYPE"PLUMBING"
CDS_LIB"cnpower";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"52;
VHDL_INIT"1"10;
%"CAPCERSMDCL2"
"1","(-3075,3250)","1","cnpassive","I16";
;
......@@ -820,7 +820,7 @@ PACK_TYPE"0603"
SIZE"1"
CDS_LIB"cnpassive";
"A <SIZE-1..0>\NAC"
$PN"1"50;
$PN"1"30;
"B <SIZE-1..0>\NAC"
$PN"2"78;
%"CAPCERSMDCL2"
......@@ -836,7 +836,7 @@ CDS_LIB"cnpassive"
PACK_TYPE"0603"
SIZE"1";
"A <SIZE-1..0>\NAC"
$PN"1"49;
$PN"1"26;
"B <SIZE-1..0>\NAC"
$PN"2"86;
%"INDUCTANCE"
......@@ -850,7 +850,7 @@ VALUE"4.7UH"
CDS_LIB"cndiscrete"
PACK_TYPE"LQH32C_23";
"B <SIZE-1..0>\NAC"
$PN"2"52;
$PN"2"10;
"A <SIZE-1..0>\NAC"
$PN"1"78;
%"INDUCTANCE"
......@@ -866,7 +866,7 @@ PACK_TYPE"LQH32C_23";
"B <SIZE-1..0>\NAC"
$PN"2"86;
"A <SIZE-1..0>\NAC"
$PN"1"48;
$PN"1"22;
%"NBSG53A_MOD"
"1","(-2500,1425)","0","fmc_tlu_v1_lib","I2";
;
......@@ -883,31 +883,31 @@ CDS_LMAN_SYM_OUTLINE"-200,400,200,-350"
NEEDS_NO_SIZE"TRUE"
CDS_LIB"fmc_tlu_v1_lib";
"Q"
$PN"10"64;
$PN"10"67;
"Q* \B"
$PN"11"70;
$PN"11"71;
"OLS"
$PN"13"72;
$PN"13"40;
"VTCLK"
$PN"4"71;
$PN"4"72;
"CLK"
$PN"3"61;
$PN"3"39;
"SEL"
$PN"14"63;
$PN"14"66;
"R"
$PN"15"66;
$PN"15"68;
"VTD* \B"
$PN"5"69;
$PN"5"70;
"D"
$PN"7"65;
$PN"7"36;
"D* \B"
$PN"6"62;
$PN"6"37;
"VTD"
$PN"8"67;
$PN"8"69;
"VTCLK* \B"
$PN"1"68;
$PN"1"35;
"CLK* \B"
$PN"2"60;
$PN"2"38;
%"CAPCERSMDCL2"
"1","(-2900,3250)","1","cnpassive","I20";
;
......@@ -921,7 +921,7 @@ CDS_LIB"cnpassive"
PACK_TYPE"0603"
VOLTAGE"6.3V";
"A <SIZE-1..0>\NAC"
$PN"1"50;
$PN"1"30;
"B <SIZE-1..0>\NAC"
$PN"2"78;
%"GND_SIGNAL"
......@@ -930,21 +930,21 @@ $PN"2"78;
CDS_LIB"standard"
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL";
"GND"43;
"GND"11;
%"GND_SIGNAL"
"1","(-2200,2625)","0","standard","I22";
;
CDS_LIB"standard"
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING";
"GND"44;
"GND"12;
%"GND_SIGNAL"
"1","(-750,2625)","0","standard","I23";
;
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING"
CDS_LIB"standard";
"GND"5;
"GND"13;
%"CAPCERSMDCL2"
"1","(-2150,3125)","3","cnpassive","I24";
;
......@@ -958,9 +958,9 @@ SIZE"1"
PACK_TYPE"0603"
VOLTAGE"16V";
"A <SIZE-1..0>\NAC"
$PN"1"58;
$PN"1"64;
"B <SIZE-1..0>\NAC"
$PN"2"44;
$PN"2"12;
%"CAPCERSMDCL2"
"1","(-2050,3025)","1","cnpassive","I25";
;
......@@ -974,7 +974,7 @@ SIZE"1"
CDS_LIB"cnpassive"
PACK_TYPE"0603";
"A <SIZE-1..0>\NAC"
$PN"1"44;
$PN"1"12;
"B <SIZE-1..0>\NAC"
$PN"2"74;
%"RSMD0603"
......@@ -1000,7 +1000,7 @@ DIST"FLAT"
CDS_LIB"cnpassive"
PACK_TYPE"";
"A <SIZE-1..0>\NAC"
$PN"1"44;
$PN"1"12;
"B <SIZE-1..0>\NAC"
$PN"2"74;
%"P3V3"
......@@ -1011,7 +1011,7 @@ SIZE"1B"
BODY_TYPE"PLUMBING"
CDS_LIB"cnpower";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"45;
VHDL_INIT"1"14;
%"RSMD0603"
"2","(-1675,2900)","0","cnpassive","I28";
;
......@@ -1035,7 +1035,7 @@ TC2"RTMPQ"
TOL_ON_OFF"ON"
VOLTAGE"RVMAX";
"A <SIZE-1..0>\NAC"
$PN"1"45;
$PN"1"14;
"B <SIZE-1..0>\NAC"
$PN"2"73;
%"GND_SIGNAL"
......@@ -1044,7 +1044,7 @@ $PN"2"73;
CDS_LIB"standard"
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL";
"GND"46;
"GND"15;
%"ADN2814"
"1","(-1100,3225)","0","cninterface","I3";
;
......@@ -1057,47 +1057,47 @@ PACK_TYPE"LFCSP"
POWER_GROUP"VEE=GND_SIGNAL;VCC=P3V3"
CDS_LIB"cninterface";
"DATAOUTP"
$PN"29"35;
$PN"29"34;
"DATAOUTN"
$PN"28"26;
$PN"28"2;
"CLKOUTP"
$PN"26"25;
$PN"26"53;
"CLKOUTN"
$PN"25"24;
$PN"25"52;
"SQUELCH"
$PN"27"55;
$PN"27"61;
"CF1"
$PN"15"12;
$PN"15"41;
"LOL"
$PN"16"31;
$PN"16"56;
"CF2"
$PN"14"13;
$PN"14"1;
"PAD"
$PN"33"5;
$PN"33"13;
"SLICEN"
$PN"7"43;
$PN"7"11;
"SLICEP"
$PN"6"43;
$PN"6"11;
"NIN"
$PN"4"59;
$PN"4"65;
"PIN"
$PN"5"38;
$PN"5"58;
"VREF"
$PN"3"58;
$PN"3"64;
"LOS"
$PN"22"75;
"THRADJ"
$PN"9"74;
"SCK"
$PN"20"57;
$PN"20"63;
"SDA"
$PN"21"56;
$PN"21"62;
"SADDRS"
$PN"19"73;
"REFCLKN"
$PN"11"46;
$PN"11"15;
"REFCLKP"
$PN"10"45;
$PN"10"14;
%"RSMD0603"
"2","(-1675,2725)","2","cnpassive","I30";
;
......@@ -1123,7 +1123,7 @@ PACK_TYPE"1/10W";
"A <SIZE-1..0>\NAC"
$PN"1"73;
"B <SIZE-1..0>\NAC"
$PN"2"46;
$PN"2"15;
%"CAPCERSMDCL2"
"1","(-600,3025)","2","cnpassive","I31";
;
......@@ -1137,9 +1137,9 @@ SIZE"1"
CDS_LIB"cnpassive"
PACK_TYPE"0402";
"A <SIZE-1..0>\NAC"
$PN"1"13;
$PN"1"1;
"B <SIZE-1..0>\NAC"
$PN"2"12;
$PN"2"41;
%"RSMD0603"
"2","(-600,3275)","0","cnpassive","I32";
;
......@@ -1163,16 +1163,16 @@ TOL_ON_OFF"ON"
VOLTAGE"RVMAX"
CDS_LIB"cnpassive";
"A <SIZE-1..0>\NAC"
$PN"1"55;
$PN"1"61;
"B <SIZE-1..0>\NAC"
$PN"2"1;
$PN"2"16;
%"GND_SIGNAL"
"1","(-400,3200)","0","standard","I33";
;
CDS_LIB"standard"
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL";
"GND"1;
"GND"16;
%"RSMD0603"
"2","(1450,3200)","2","cnpassive","I38";
;
......@@ -1196,9 +1196,9 @@ TOL_ON_OFF"ON"
VOLTAGE"RVMAX"
TOL"1%";
"A <SIZE-1..0>\NAC"
$PN"1"18;
$PN"1"46;
"B <SIZE-1..0>\NAC"
$PN"2"17;
$PN"2"45;
%"CAPCERSMDCL2"
"1","(1150,3325)","0","cnpassive","I39";
;
......@@ -1212,9 +1212,9 @@ CDS_LIB"cnpassive"
PACK_TYPE"0402"
VOLTAGE"16V_GEN";
"A <SIZE-1..0>\NAC"
$PN"1"16;
$PN"1"44;
"B <SIZE-1..0>\NAC"
$PN"2"17;
$PN"2"45;
%"CON20P_SFP"
"2","(-3750,3475)","2","cnconnector","I4";
;
......@@ -1237,19 +1237,19 @@ $PN"2"77;
"VCCT"
$PN"16"86;
"VEET1"
$PN"1"49;
$PN"1"26;
"VEET2"
$PN"17"49;
$PN"17"26;
"VEER1"
$PN"10"50;
$PN"10"30;
"VEER3"
$PN"14"50;
$PN"14"30;
"VEER2"
$PN"11"50;
$PN"11"30;
"RD-"
$PN"12"53;
$PN"12"59;
"VEET3"
$PN"20"49;
$PN"20"26;
"VCCR"
$PN"15"78;
"MOD_DEF0/MOD_ABS"
......@@ -1263,7 +1263,7 @@ $PN"7"80;
"VEER/RS1"
$PN"9"85;
"RD+"
$PN"13"54;
$PN"13"60;
"TX_DISABLE"
$PN"3"88;
%"CAPCERSMDCL2"
......@@ -1279,9 +1279,9 @@ CDS_LIB"cnpassive"
PACK_TYPE"0402"
VOLTAGE"16V_GEN";
"A <SIZE-1..0>\NAC"
$PN"1"15;
$PN"1"43;
"B <SIZE-1..0>\NAC"
$PN"2"18;
$PN"2"46;
%"TP"
"1","(1725,3400)","1","cnpassive","I41";
;
......@@ -1295,7 +1295,7 @@ PACK_TYPE"HOLE"
PART_NAME"TP"
SIZE"1B";
"A <SIZE-1..0>\NAC"
$PN"1"18;
$PN"1"46;
%"TP"
"1","(1875,3400)","1","cnpassive","I42";
;
......@@ -1309,7 +1309,7 @@ CDS_LIB"cnpassive"
PART_NAME"TP"
PACK_TYPE"HOLE";
"A <SIZE-1..0>\NAC"
$PN"1"17;
$PN"1"45;
%"TP"
"1","(2025,3400)","1","cnpassive","I43";
;
......@@ -1323,14 +1323,14 @@ PACK_TYPE"HOLE"
PART_NAME"TP"
SIZE"1B";
"A <SIZE-1..0>\NAC"
$PN"1"89;
$PN"1"90;
%"GND_SIGNAL"
"1","(1975,3300)","0","standard","I44";
;
CDS_LIB"standard"
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL";
"GND"89;
"GND"90;
%"CAPCERSMDCL2"
"1","(-1625,1525)","0","cnpassive","I45";
;
......@@ -1344,7 +1344,7 @@ SIZE"1"
PACK_TYPE"0603"
VOLTAGE"50V_X7R";
"A <SIZE-1..0>\NAC"
$PN"1"64;
$PN"1"67;
"B <SIZE-1..0>\NAC"
$PN"2"76;
%"CAPCERSMDCL2"
......@@ -1360,9 +1360,9 @@ CDS_LIB"cnpassive"
PACK_TYPE"0603"
VOLTAGE"50V_X7R";
"A <SIZE-1..0>\NAC"
$PN"1"70;
$PN"1"71;
"B <SIZE-1..0>\NAC"
$PN"2"37;
$PN"2"57;
%"RSMD0603"
"2","(-1975,1250)","1","cnpassive","I47";
;
......@@ -1386,9 +1386,9 @@ DIST"FLAT"
PACK_TYPE"1/10W"
CDS_LIB"cnpassive";
"A <SIZE-1..0>\NAC"
$PN"1"39;
$PN"1"17;
"B <SIZE-1..0>\NAC"
$PN"2"64;
$PN"2"67;
%"RSMD0603"
"2","(-1775,1250)","1","cnpassive","I48";
;
......@@ -1412,16 +1412,16 @@ TC2"RTMPQ"
TOL_ON_OFF"ON"
VOLTAGE"RVMAX";
"A <SIZE-1..0>\NAC"
$PN"1"39;
$PN"1"17;
"B <SIZE-1..0>\NAC"
$PN"2"70;
$PN"2"71;
%"GND_SIGNAL"
"1","(-1925,875)","0","standard","I49";
;
CDS_LIB"standard"
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING";
"GND"39;
"GND"17;
%"SFP_CAGE"
"2","(-3675,2750)","0","cnmech","I5";
;
......@@ -1459,16 +1459,16 @@ TOL_ON_OFF"ON"
VOLTAGE"RVMAX"
CDS_LIB"cnpassive";
"A <SIZE-1..0>\NAC"
$PN"1"2;
$PN"1"18;
"B <SIZE-1..0>\NAC"
$PN"2"72;
$PN"2"40;
%"GND_SIGNAL"
"1","(-3225,1800)","0","standard","I51";
;
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING"
CDS_LIB"standard";
"GND"2;
"GND"18;
%"RSMD0402"
"2","(-3275,900)","1","cnpassive","I52";
;
......@@ -1492,9 +1492,9 @@ TC2"RTMPQ"
TOL_ON_OFF"ON"
VOLTAGE"RVMAX";
"A <SIZE-1..0>\NAC"
$PN"1"4;
$PN"1"20;
"B <SIZE-1..0>\NAC"
$PN"2"66;
$PN"2"68;
%"RSMD0402"
"2","(-3000,875)","1","cnpassive","I53";
;
......@@ -1518,9 +1518,9 @@ TC2"RTMPQ"
TOL_ON_OFF"ON"
VOLTAGE"RVMAX";
"A <SIZE-1..0>\NAC"
$PN"1"63;
$PN"1"66;
"B <SIZE-1..0>\NAC"
$PN"2"3;
$PN"2"19;
%"P3V3"
"1","(-3000,1050)","0","cnpower","I54";
;
......@@ -1529,14 +1529,14 @@ CDS_LIB"cnpower"
SIZE"1B"
BODY_TYPE"PLUMBING";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"3;
VHDL_INIT"1"19;
%"GND_SIGNAL"
"1","(-3325,725)","0","standard","I55";
;
CDS_LIB"standard"
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL";
"GND"4;
"GND"20;
%"RSMD0402"
"2","(-4075,1425)","1","cnpassive","I56";
;
......@@ -1560,9 +1560,9 @@ TC2"RTMPQ"
TOL_ON_OFF"ON"
VOLTAGE"RVMAX";
"A <SIZE-1..0>\NAC"
$PN"1"47;
$PN"1"21;
"B <SIZE-1..0>\NAC"
$PN"2"61;
$PN"2"39;
%"RSMD0402"
"2","(-4250,1425)","1","cnpassive","I57";
;
......@@ -1586,16 +1586,16 @@ TC2"RTMPQ"
TOL_ON_OFF"ON"
VOLTAGE"RVMAX";
"A <SIZE-1..0>\NAC"
$PN"1"47;
$PN"1"21;
"B <SIZE-1..0>\NAC"
$PN"2"60;
$PN"2"38;
%"GND_SIGNAL"
"1","(-4225,1150)","0","standard","I58";
;
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING"
CDS_LIB"standard";
"GND"47;
"GND"21;
%"RSMD0402"
"2","(-2900,1675)","2","cnpassive","I59";
;
......@@ -1619,9 +1619,9 @@ TC2"RTMPQ"
TOL_ON_OFF"ON"
VOLTAGE"RVMAX";
"A <SIZE-1..0>\NAC"
$PN"1"71;
$PN"1"72;
"B <SIZE-1..0>\NAC"
$PN"2"68;
$PN"2"35;
%"P3V3"
"1","(-4900,3975)","0","cnpower","I6";
;
......@@ -1630,7 +1630,7 @@ CDS_LIB"cnpower"
BODY_TYPE"PLUMBING"
SIZE"1B";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"48;
VHDL_INIT"1"22;
%"RSMD0402"
"2","(-2900,1275)","0","cnpassive","I60";
;
......@@ -1654,16 +1654,16 @@ DIST"FLAT"
CDS_LIB"cnpassive"
PACK_TYPE"1/16W";
"A <SIZE-1..0>\NAC"
$PN"1"67;
$PN"1"69;
"B <SIZE-1..0>\NAC"
$PN"2"69;
$PN"2"70;
%"GND_SIGNAL"
"1","(-3850,50)","0","standard","I63";
;
CDS_LIB"standard"
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING";
"GND"7;
"GND"23;
%"CAPCERSMDCL2"
"1","(-1700,-25)","1","cnpassive","I64";
;
......@@ -1677,9 +1677,9 @@ CDS_LIB"cnpassive"
PACK_TYPE"0402"
VOLTAGE"16V_GEN";
"A <SIZE-1..0>\NAC"
$PN"1"10;
$PN"1"24;
"B <SIZE-1..0>\NAC"
$PN"2"11;
$PN"2"25;
%"CAPCERSMDCL2"
"1","(-1575,-25)","1","cnpassive","I65";
;
......@@ -1693,9 +1693,9 @@ CDS_LIB"cnpassive"
PACK_TYPE"0402"
VOLTAGE"16V_GEN";
"A <SIZE-1..0>\NAC"
$PN"1"10;
$PN"1"24;
"B <SIZE-1..0>\NAC"
$PN"2"11;
$PN"2"25;
%"CAPCERSMDCL2"
"1","(-1450,-25)","1","cnpassive","I66";
;
......@@ -1709,9 +1709,9 @@ CDS_LIB"cnpassive"
PACK_TYPE"0402"
VOLTAGE"16V_GEN";
"A <SIZE-1..0>\NAC"
$PN"1"10;
$PN"1"24;
"B <SIZE-1..0>\NAC"
$PN"2"11;
$PN"2"25;
%"CAPCERSMDCL2"
"1","(-1300,-25)","1","cnpassive","I67";
;
......@@ -1725,16 +1725,16 @@ CDS_LIB"cnpassive"
PACK_TYPE"0402"
VOLTAGE"16V_GEN";
"A <SIZE-1..0>\NAC"
$PN"1"10;
$PN"1"24;
"B <SIZE-1..0>\NAC"
$PN"2"11;
$PN"2"25;
%"GND_SIGNAL"
"1","(-1575,-475)","0","standard","I68";
;
CDS_LIB"standard"
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING";
"GND"10;
"GND"24;
%"P3V3"
"1","(-1525,250)","0","cnpower","I69";
;
......@@ -1743,14 +1743,14 @@ CDS_LIB"cnpower"
SIZE"1B"
BODY_TYPE"PLUMBING";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"11;
VHDL_INIT"1"25;
%"GND_SIGNAL"
"1","(-4450,3050)","0","standard","I7";
;
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL"
CDS_LIB"standard";
"GND"49;
"GND"26;
%"P3V3"
"1","(-300,1725)","0","cnpower","I70";
;
......@@ -1759,7 +1759,7 @@ CDS_LIB"cnpower"
BODY_TYPE"PLUMBING"
SIZE"1B";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"33;
VHDL_INIT"1"27;
%"CAPCERSMDCL2"
"1","(-75,1450)","1","cnpassive","I71";
;
......@@ -1773,9 +1773,9 @@ SIZE"1"
PACK_TYPE"0402"
VOLTAGE"16V_GEN";
"A <SIZE-1..0>\NAC"
$PN"1"36;
$PN"1"28;
"B <SIZE-1..0>\NAC"
$PN"2"33;
$PN"2"27;
%"CAPCERSMDCL2"
"1","(-225,1450)","1","cnpassive","I72";
;
......@@ -1789,9 +1789,9 @@ SIZE"1"
PACK_TYPE"0402"
VOLTAGE"16V_GEN";
"A <SIZE-1..0>\NAC"
$PN"1"36;
$PN"1"28;
"B <SIZE-1..0>\NAC"
$PN"2"33;
$PN"2"27;
%"CAPCERSMDCL2"
"1","(-350,1450)","1","cnpassive","I73";
;
......@@ -1805,9 +1805,9 @@ CDS_LIB"cnpassive"
PACK_TYPE"0402"
VOLTAGE"16V_GEN";
"A <SIZE-1..0>\NAC"
$PN"1"36;
$PN"1"28;
"B <SIZE-1..0>\NAC"
$PN"2"33;
$PN"2"27;
%"CAPCERSMDCL2"
"1","(-475,1450)","1","cnpassive","I74";
;
......@@ -1821,30 +1821,30 @@ CDS_LIB"cnpassive"
PACK_TYPE"0402"
VOLTAGE"16V_GEN";
"A <SIZE-1..0>\NAC"
$PN"1"36;
$PN"1"28;
"B <SIZE-1..0>\NAC"
$PN"2"33;
$PN"2"27;
%"GND_SIGNAL"
"1","(-350,1000)","0","standard","I75";
;
CDS_LIB"standard"
BODY_TYPE"PLUMBING"
HDL_POWER"GND_SIGNAL";
"GND"36;
"GND"28;
%"GND_SIGNAL"
"1","(300,975)","0","standard","I76";
;
CDS_LIB"standard"
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING";
"GND"34;
"GND"29;
%"GND_SIGNAL"
"1","(-3275,3050)","0","standard","I8";
;
HDL_POWER"GND_SIGNAL"
BODY_TYPE"PLUMBING"
CDS_LIB"standard";
"GND"50;
"GND"30;
%"P3V3"
"1","(350,1700)","2","cnpower","I81";
;
......@@ -1853,7 +1853,7 @@ BODY_TYPE"PLUMBING"
SIZE"1B"
CDS_LIB"cnpower";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"32;
VHDL_INIT"1"31;
%"CAPCERSMDCL2"
"1","(175,1425)","1","cnpassive","I82";
;
......@@ -1867,9 +1867,9 @@ SIZE"1"
PACK_TYPE"0402"
VOLTAGE"50V";
"A <SIZE-1..0>\NAC"
$PN"1"34;
$PN"1"29;
"B <SIZE-1..0>\NAC"
$PN"2"32;
$PN"2"31;
%"CAPCERSMDCL2"
"1","(300,1425)","1","cnpassive","I86";
;
......@@ -1883,9 +1883,9 @@ CDS_LIB"cnpassive"
PACK_TYPE"0402"
VOLTAGE"50V";
"A <SIZE-1..0>\NAC"
$PN"1"34;
$PN"1"29;
"B <SIZE-1..0>\NAC"
$PN"2"32;
$PN"2"31;
%"CAPCERSMDCL2"
"1","(425,1425)","1","cnpassive","I87";
;
......@@ -1899,9 +1899,9 @@ CDS_LIB"cnpassive"
PACK_TYPE"0402"
VOLTAGE"50V";
"A <SIZE-1..0>\NAC"
$PN"1"34;
$PN"1"29;
"B <SIZE-1..0>\NAC"
$PN"2"32;
$PN"2"31;
%"CAPCERSMDCL2"
"1","(575,1450)","1","cnpassive","I88";
;
......@@ -1915,9 +1915,9 @@ CDS_LIB"cnpassive"
PACK_TYPE"0402"
VOLTAGE"50V";
"A <SIZE-1..0>\NAC"
$PN"1"34;
$PN"1"29;
"B <SIZE-1..0>\NAC"
$PN"2"32;
$PN"2"31;
%"RSMD0603"
"2","(-4750,3725)","0","cnpassive","I9";
;
......@@ -1941,17 +1941,17 @@ MAX_TEMP"RTMAX"
DIST"FLAT"
TOL"1%";
"A <SIZE-1..0>\NAC"
$PN"1"48;
$PN"1"22;
"B <SIZE-1..0>\NAC"
$PN"2"88;
%"RSMD0603"
"2","(50,3750)","0","cnpassive","I90";
;
VALUE"100"
CDS_LOCATION"R53"
CDS_SEC"1"
$SEC"1"
TOL"1%"
VALUE"XX"
$LOCATION"R53"
VOLTAGE"RVMAX"
TOL_ON_OFF"ON"
......@@ -1967,9 +1967,9 @@ DIST"FLAT"
PACK_TYPE"1/10W"
CDS_LIB"cnpassive";
"A <SIZE-1..0>\NAC"
$PN"1"35;
$PN"1"34;
"B <SIZE-1..0>\NAC"
$PN"2"26;
$PN"2"2;
%"P3V3"
"1","(-3775,1125)","0","cnpower","I93";
;
......@@ -1978,7 +1978,7 @@ CDS_LIB"cnpower"
BODY_TYPE"PLUMBING"
SIZE"1B";
"A<SIZE-1..0>\NAC"
VHDL_INIT"1"51;
VHDL_INIT"1"32;
%"CAPCERSMDCL2"
"1","(-3050,-125)","1","cnpassive","I94";
;
......@@ -1992,9 +1992,9 @@ CDS_LIB"cnpassive"
PACK_TYPE"0402"
VOLTAGE"50V";
"A <SIZE-1..0>\NAC"
$PN"1"9;
$PN"1"4;
"B <SIZE-1..0>\NAC"
$PN"2"8;
$PN"2"3;
%"CAPCERSMDCL2"
"1","(-2800,-125)","1","cnpassive","I95";
;
......@@ -2008,9 +2008,9 @@ CDS_LIB"cnpassive"
PACK_TYPE"0402"
VOLTAGE"50V";
"A <SIZE-1..0>\NAC"
$PN"1"9;
$PN"1"4;
"B <SIZE-1..0>\NAC"
$PN"2"8;
$PN"2"3;
%"CAPCERSMDCL2"
"1","(-4950,-250)","1","cnpassive","I96";
;
......@@ -2024,9 +2024,9 @@ SIZE"1"
CDS_LIB"cnpassive"
PACK_TYPE"0603";
"A <SIZE-1..0>\NAC"
$PN"1"41;
$PN"1"6;
"B <SIZE-1..0>\NAC"
$PN"2"40;
$PN"2"5;
%"CAPCERSMDCL2"
"1","(-4725,-250)","1","cnpassive","I98";
;
......@@ -2040,7 +2040,7 @@ PACK_TYPE"0603"
CDS_LIB"cnpassive"
SIZE"1";
"A <SIZE-1..0>\NAC"
$PN"1"41;
$PN"1"6;
"B <SIZE-1..0>\NAC"
$PN"2"40;
$PN"2"5;
END.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment