Commit 8cd2e995 authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

hdl/rtl/fd_csync_generator.vhd: clear DMTD status on reset

parent 0f9d247d
......@@ -306,7 +306,7 @@ begin -- behavioral
begin
if rising_edge(clk_sys_i) then
if rst_n_sys_i = '0' then
regs_o.tcr_dmtd_stat_i <= '0';
dmtd_stat <= '0';
dmtd_locked_d0 <= '0';
else
dmtd_locked_d0 <= wr_clk_dmtd_locked_i;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment