Commit af2eaddf authored by mcattin's avatar mcattin

Add bit description for IRQ controller registers.

git-svn-id: http://svn.ohwr.org/fmc-adc-100m14b4cha/trunk@112 ddd67a1a-c8ad-4635-afe9-0b8a11d8f8e4
parent 78c670fe
...@@ -3,7 +3,7 @@ ...@@ -3,7 +3,7 @@
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
-- File : ../rtl/irq_controller_regs.vhd -- File : ../rtl/irq_controller_regs.vhd
-- Author : auto-generated by wbgen2 from irq_controller_regs.wb -- Author : auto-generated by wbgen2 from irq_controller_regs.wb
-- Created : Mon Nov 21 18:08:05 2011 -- Created : Wed Jan 18 09:43:55 2012
-- Standard : VHDL'87 -- Standard : VHDL'87
--------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------
-- THIS FILE WAS GENERATED BY wbgen2 FROM SOURCE FILE irq_controller_regs.wb -- THIS FILE WAS GENERATED BY wbgen2 FROM SOURCE FILE irq_controller_regs.wb
......
...@@ -3,7 +3,7 @@ ...@@ -3,7 +3,7 @@
* File : irq_controller_regs.h * File : irq_controller_regs.h
* Author : auto-generated by wbgen2 from irq_controller_regs.wb * Author : auto-generated by wbgen2 from irq_controller_regs.wb
* Created : Mon Nov 21 18:08:05 2011 * Created : Wed Jan 18 09:43:55 2012
* Standard : ANSI C * Standard : ANSI C
THIS FILE WAS GENERATED BY wbgen2 FROM SOURCE FILE irq_controller_regs.wb THIS FILE WAS GENERATED BY wbgen2 FROM SOURCE FILE irq_controller_regs.wb
......
...@@ -355,7 +355,7 @@ MULTI_IRQ ...@@ -355,7 +355,7 @@ MULTI_IRQ
</tr> </tr>
</table> </table>
<p> <p>
Multiple interrupts occurs before irq source is read.<br>Write '1' to clear a bit. Multiple interrupts occurs before irq source is read.<br>Write '1' to clear a bit.<br><br>Bit 0: DMA done.<br>Bit 1: DMA error.<br>Bit 2: Trigger.<br>Bit 3: Acquisition end.
</p> </p>
<table cellpadding=0 cellspacing=0 border=0> <table cellpadding=0 cellspacing=0 border=0>
<tr> <tr>
...@@ -615,7 +615,7 @@ SRC ...@@ -615,7 +615,7 @@ SRC
</tr> </tr>
</table> </table>
<p> <p>
Indicates the interrupt source.<br>Write '1' to clear a bit. Indicates the interrupt source.<br>Write '1' to clear a bit.<br><br>Bit 0: DMA done.<br>Bit 1: DMA error.<br>Bit 2: Trigger.<br>Bit 3: Acquisition end.
</p> </p>
<table cellpadding=0 cellspacing=0 border=0> <table cellpadding=0 cellspacing=0 border=0>
<tr> <tr>
...@@ -875,7 +875,7 @@ EN_MASK ...@@ -875,7 +875,7 @@ EN_MASK
</tr> </tr>
</table> </table>
<p> <p>
Bit mask to independently enable interrupt sources. Bit mask to independently enable interrupt sources.<br><br>Bit 0: DMA done.<br>Bit 1: DMA error.<br>Bit 2: Trigger.<br>Bit 3: Acquisition end.
</p> </p>
<table cellpadding=0 cellspacing=0 border=0> <table cellpadding=0 cellspacing=0 border=0>
<tr> <tr>
......
...@@ -7,7 +7,7 @@ peripheral { ...@@ -7,7 +7,7 @@ peripheral {
reg { reg {
name = "Multiple interrupt register"; name = "Multiple interrupt register";
description = "Multiple interrupts occurs before irq source is read.\nWrite '1' to clear a bit."; description = "Multiple interrupts occurs before irq source is read.\nWrite '1' to clear a bit.\n\nBit 0: DMA done.\nBit 1: DMA error.\nBit 2: Trigger.\nBit 3: Acquisition end.";
prefix = "multi_irq"; prefix = "multi_irq";
field { field {
...@@ -22,7 +22,7 @@ peripheral { ...@@ -22,7 +22,7 @@ peripheral {
reg { reg {
name = "Interrupt sources register "; name = "Interrupt sources register ";
description = "Indicates the interrupt source.\nWrite '1' to clear a bit."; description = "Indicates the interrupt source.\nWrite '1' to clear a bit.\n\nBit 0: DMA done.\nBit 1: DMA error.\nBit 2: Trigger.\nBit 3: Acquisition end.";
prefix = "src"; prefix = "src";
field { field {
...@@ -37,7 +37,7 @@ peripheral { ...@@ -37,7 +37,7 @@ peripheral {
reg { reg {
name = "Interrupt enable mask register"; name = "Interrupt enable mask register";
description = "Bit mask to independently enable interrupt sources."; description = "Bit mask to independently enable interrupt sources.\n\nBit 0: DMA done.\nBit 1: DMA error.\nBit 2: Trigger.\nBit 3: Acquisition end.";
prefix = "en_mask"; prefix = "en_mask";
field { field {
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment