Commit 70750c9a authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

hdl: Work on changing for V2 card

The V2 card has the front-panel LEDs non-negated (the Schmitt-trigger inverters
have been removed) and has LEDs added for each inverter channel. The relevant
changes have been made in this respect as follows:
- changed signal names in UCF and top-level VHDL file
- changed polarity of pulse LED outputs to active-high
- added the inverter LED outputs
- added the inverter LEDs in the LED sequencing scheme for the LED test
parent b3c77aeb
......@@ -103,18 +103,27 @@ NET "inv_o[3]" IOSTANDARD = LVCMOS33;
#------------------------------------------------------------------------------
# Channel LEDs
#------------------------------------------------------------------------------
NET "led_front_n_o[0]" LOC = H3;
NET "led_front_n_o[0]" IOSTANDARD = LVCMOS33;
NET "led_front_n_o[1]" LOC = J4;
NET "led_front_n_o[1]" IOSTANDARD = LVCMOS33;
NET "led_front_n_o[2]" LOC = J3;
NET "led_front_n_o[2]" IOSTANDARD = LVCMOS33;
NET "led_front_n_o[3]" LOC = K3;
NET "led_front_n_o[3]" IOSTANDARD = LVCMOS33;
NET "led_front_n_o[4]" LOC = L4;
NET "led_front_n_o[4]" IOSTANDARD = LVCMOS33;
NET "led_front_n_o[5]" LOC = L3;
NET "led_front_n_o[5]" IOSTANDARD = LVCMOS33;
NET "led_front_o[0]" LOC = H3;
NET "led_front_o[0]" IOSTANDARD = LVCMOS33;
NET "led_front_o[1]" LOC = J4;
NET "led_front_o[1]" IOSTANDARD = LVCMOS33;
NET "led_front_o[2]" LOC = J3;
NET "led_front_o[2]" IOSTANDARD = LVCMOS33;
NET "led_front_o[3]" LOC = K3;
NET "led_front_o[3]" IOSTANDARD = LVCMOS33;
NET "led_front_o[4]" LOC = L4;
NET "led_front_o[4]" IOSTANDARD = LVCMOS33;
NET "led_front_o[5]" LOC = L3;
NET "led_front_o[5]" IOSTANDARD = LVCMOS33;
NET "led_inv_o[0]" LOC = AA4;
NET "led_inv_o[0]" IOSTANDARD = LVCMOS33;
NET "led_inv_o[1]" LOC = AB5;
NET "led_inv_o[1]" IOSTANDARD = LVCMOS33;
NET "led_inv_o[2]" LOC = AB4;
NET "led_inv_o[2]" IOSTANDARD = LVCMOS33;
NET "led_inv_o[3]" LOC = Y5;
NET "led_inv_o[3]" IOSTANDARD = LVCMOS33;
#------------------------------------------------------------------------------
# Status LEDs
......
......@@ -89,7 +89,8 @@ entity pts is
rs485_o : out std_logic_vector(5 downto 0);
-- Channel leds
led_front_n_o : out std_logic_vector(5 downto 0);
led_front_o : out std_logic_vector(5 downto 0);
led_inv_o : out std_logic_vector(3 downto 0);
led_rear_n_o : out std_logic_vector(5 downto 0);
-- SPI interface to on-board flash chip
......@@ -587,7 +588,8 @@ architecture arch of pts is
signal stat_led_en_d0 : std_logic;
signal pulse_led_en_risedge: std_logic;
signal stat_led_en_risedge : std_logic;
signal pulse_led_seq : std_logic_vector(5 downto 0);
signal pulse_led_seq : std_logic_vector( 5 downto 0);
signal inv_led_seq : std_logic_vector( 3 downto 0);
signal bicolor_led_state : std_logic_vector(23 downto 0);
-- Signals to/from PTS regs component
......@@ -1741,26 +1743,25 @@ begin
"001000" when (pulse_led_en = '1') and (led_seq = 4) else
"010000" when (pulse_led_en = '1') and (led_seq = 5) else
"100000" when (pulse_led_en = '1') and (led_seq = 6) else
"000001" when (pulse_led_en = '1') and (led_seq = 7) else
"000010" when (pulse_led_en = '1') and (led_seq = 8) else
"000100" when (pulse_led_en = '1') and (led_seq = 9) else
"001000" when (pulse_led_en = '1') and (led_seq = 10) else
"010000" when (pulse_led_en = '1') and (led_seq = 11) else
"100000" when (pulse_led_en = '1') and (led_seq = 12) else
"000001" when (pulse_led_en = '1') and (led_seq = 13) else
"000010" when (pulse_led_en = '1') and (led_seq = 14) else
"000100" when (pulse_led_en = '1') and (led_seq = 15) else
"001000" when (pulse_led_en = '1') and (led_seq = 16) else
"010000" when (pulse_led_en = '1') and (led_seq = 17) else
"100000" when (pulse_led_en = '1') and (led_seq = 18) else
"000001" when (pulse_led_en = '1') and (led_seq = 19) else
"000010" when (pulse_led_en = '1') and (led_seq = 20) else
"000100" when (pulse_led_en = '1') and (led_seq = 21) else
"001000" when (pulse_led_en = '1') and (led_seq = 22) else
"010000" when (pulse_led_en = '1') and (led_seq = 23) else
"100000" when (pulse_led_en = '1') and (led_seq = 24) else
"000001" when (pulse_led_en = '1') and (led_seq = 11) else
"000010" when (pulse_led_en = '1') and (led_seq = 12) else
"000100" when (pulse_led_en = '1') and (led_seq = 13) else
"001000" when (pulse_led_en = '1') and (led_seq = 14) else
"010000" when (pulse_led_en = '1') and (led_seq = 15) else
"100000" when (pulse_led_en = '1') and (led_seq = 16) else
"000000";
-- Sequence the inverter LEDs
inv_led_seq <= "0001" when (pulse_led_en = '1') and (led_seq = 7) else
"0010" when (pulse_led_en = '1') and (led_seq = 8) else
"0100" when (pulse_led_en = '1') and (led_seq = 9) else
"1000" when (pulse_led_en = '1') and (led_seq = 10) else
"0001" when (pulse_led_en = '1') and (led_seq = 17) else
"0010" when (pulse_led_en = '1') and (led_seq = 18) else
"0100" when (pulse_led_en = '1') and (led_seq = 19) else
"1000" when (pulse_led_en = '1') and (led_seq = 20) else
"0000";
-- Then, we instantiate the LED controller and control it via the LED state
-- vector.
cmp_bicolor_led_ctrl : gc_bicolor_led_ctrl
......@@ -1792,7 +1793,8 @@ begin
--============================================================================
-- Drive pulse LEDs
--============================================================================
led_front_n_o <= not pulse_led_seq;
led_front_o <= pulse_led_seq;
led_inv_o <= inv_led_seq;
led_rear_n_o <= not pulse_led_seq;
--============================================================================
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment