Commit cd8852bb authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

Work on hwguide

parent 54e10870
This source diff could not be displayed because it is too large. You can view the blob instead.
......@@ -9,8 +9,8 @@
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="206.51183"
height="165.23076"
width="206.51181"
height="199.32341"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
......@@ -53,9 +53,9 @@
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="3.959798"
inkscape:cx="56.406336"
inkscape:cy="47.150726"
inkscape:zoom="2.8"
inkscape:cx="92.960953"
inkscape:cy="59.189371"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
......@@ -97,23 +97,23 @@
width="53.149609"
height="53.149605"
x="318.89764"
y="184.25195" />
y="218.3446" />
<rect
style="fill:#d9d9d9;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3823"
width="53.149609"
height="31.889763"
x="311.811"
y="272.83463" />
y="306.92728" />
<rect
y="272.83463"
y="306.92728"
x="248.03149"
height="31.889763"
width="53.149609"
id="rect3833"
style="fill:#d9d9d9;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<rect
y="279.92123"
y="314.01389"
x="375.59055"
height="17.716534"
width="31.889759"
......@@ -125,7 +125,7 @@
width="31.889759"
height="17.716534"
x="418.11023"
y="279.92123" />
y="314.01389" />
<rect
y="155.76178"
x="244.48819"
......@@ -137,14 +137,14 @@
xml:space="preserve"
style="font-size:23.95430756px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="341.85156"
y="217.09576"
y="251.50764"
id="text3759"
sodipodi:linespacing="125%"
transform="scale(1.0093636,0.99072327)"><tspan
sodipodi:role="line"
id="tspan3761"
x="341.85156"
y="217.09576"
y="251.50764"
style="font-size:12px;font-weight:bold;text-align:center;text-anchor:middle">FPGA</tspan></text>
<g
id="g3903"
......@@ -181,77 +181,78 @@
xml:space="preserve"
style="font-size:11px;font-style:normal;font-weight:normal;line-height:100%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="338.4288"
y="286.1235"
y="320.21616"
id="text3817"
sodipodi:linespacing="100%"><tspan
sodipodi:role="line"
x="338.4288"
y="286.1235"
y="320.21616"
id="tspan3821"
style="text-align:center;line-height:100%;text-anchor:middle">TTL</tspan><tspan
sodipodi:role="line"
x="338.4288"
y="297.1235"
y="331.21616"
style="text-align:center;line-height:100%;text-anchor:middle"
id="tspan3837">interface</tspan></text>
<text
sodipodi:linespacing="100%"
id="text3827"
y="286.1235"
y="320.21616"
x="274.64926"
style="font-size:11px;font-style:normal;font-weight:normal;line-height:100%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:center;line-height:100%;text-anchor:middle"
id="tspan3831"
y="286.1235"
y="320.21616"
x="274.64926"
sodipodi:role="line">Blocking</tspan><tspan
style="text-align:center;line-height:100%;text-anchor:middle"
y="297.1235"
y="331.21616"
x="274.64926"
sodipodi:role="line"
id="tspan3841">interface</tspan></text>
<text
sodipodi:linespacing="100%"
id="text3845"
y="293.21008"
y="327.30273"
x="391.5784"
style="font-size:11px;font-style:normal;font-weight:normal;line-height:100%;letter-spacing:0px;word-spacing:0px;fill:#000037;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan3849"
style="text-align:center;line-height:100%;text-anchor:middle;fill:#000037;fill-opacity:1"
y="293.21008"
y="327.30273"
x="391.5784"
sodipodi:role="line">SFP</tspan></text>
<text
xml:space="preserve"
style="font-size:11px;font-style:normal;font-weight:normal;line-height:100%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="434.09811"
y="293.21008"
y="327.30273"
id="text3857"
sodipodi:linespacing="100%"><tspan
sodipodi:role="line"
x="434.09811"
y="293.21008"
y="327.30273"
style="text-align:center;line-height:100%;text-anchor:middle"
id="tspan3859">LEDs</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3892"
y="164.9493"
x="354.33072"
x="358.8764"
style="font-size:11px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:center;text-anchor:middle"
y="164.9493"
x="354.33072"
x="358.8764"
id="tspan3894"
sodipodi:role="line">VME connector</tspan></text>
<path
inkscape:connector-curvature="0"
id="path4894"
d="m 343.70079,180.70864 0,-35.43307"
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:2.99999999, 2.99999999;stroke-dashoffset:0;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" />
d="m 343.70079,214.29621 0,-69.02064"
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:2.99999999, 2.99999999;stroke-dashoffset:0;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text5266"
......@@ -269,29 +270,29 @@
<path
inkscape:connector-curvature="0"
id="path5832"
d="m 336.61417,240.94486 0,10.62992 0,17.71654"
d="m 336.61417,275.03751 0,10.62992 0,17.71654"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" />
<path
inkscape:connector-curvature="0"
id="path5834"
d="m 347.24409,240.94486 0,14.17323 42.51969,0 0,21.25984"
d="m 347.24409,275.03751 0,14.17323 42.51969,0 0,21.25984"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" />
<path
inkscape:connector-curvature="0"
id="path5836"
d="m 357.87402,240.94486 0,7.08661 74.40944,0 0,28.34646"
d="m 357.87402,275.03751 0,7.08661 74.40944,0 0,28.34646"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" />
<path
inkscape:connector-curvature="0"
id="path5838"
d="m 325.98425,240.94486 0,7.08661 -49.6063,0 0,21.25985"
d="m 325.98425,275.03751 0,7.08661 -49.6063,0 0,21.25985"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" />
<g
id="g3955"
transform="translate(-1.4285642,2.0326138)">
id="g4055"
transform="translate(1.2840271,0)">
<rect
y="214.6091"
x="398.27896"
y="250.73436"
x="245.42183"
height="21.259844"
width="53.149609"
id="rect3795"
......@@ -299,78 +300,117 @@
<text
sodipodi:linespacing="100%"
id="text3789"
y="229.17871"
x="424.89673"
y="265.30399"
x="272.03961"
style="font-size:11px;font-style:normal;font-weight:normal;line-height:100%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:center;line-height:100%;text-anchor:middle"
id="tspan3793"
y="229.17871"
x="424.89673"
y="265.30399"
x="272.03961"
sodipodi:role="line">Flash</tspan></text>
<path
inkscape:connector-curvature="0"
id="path7312"
d="m 377.01912,225.23901 17.71654,0"
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)"
d="m 375.59056,261.36427 17.71654,0"
id="path7312"
inkscape:connector-curvature="0" />
<g
id="g4060"
transform="translate(-76.420929,0)">
<rect
style="fill:#d9d9d9;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3824"
width="53.149609"
height="23.785234"
x="473.13443"
y="248.20898" />
<text
xml:space="preserve"
style="font-size:11px;font-style:normal;font-weight:normal;line-height:100%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="499.75217"
y="258.70242"
id="text3826"
sodipodi:linespacing="100%"><tspan
sodipodi:role="line"
x="499.75217"
y="258.70242"
id="tspan3828"
style="text-align:center;line-height:100%;text-anchor:middle">Thermo-</tspan><tspan
id="tspan3034"
sodipodi:role="line"
x="499.75217"
y="269.70242"
style="text-align:center;line-height:100%;text-anchor:middle">meter</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)"
d="m 303.44769,260.86428 11.46654,0"
id="path3909"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
id="g3949"
transform="translate(0,-2.032608)">
id="g3049"
transform="translate(0.62072754,0)">
<rect
style="fill:#d9d9d9;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3805"
width="53.149609"
height="21.259844"
x="396.8504"
y="185.78456" />
x="246.08513"
y="217.8446" />
<text
xml:space="preserve"
style="font-size:11px;font-style:normal;font-weight:normal;line-height:100%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="423.46817"
y="200.35417"
x="272.70291"
y="232.41422"
id="text3801"
sodipodi:linespacing="100%"><tspan
sodipodi:role="line"
x="423.46817"
y="200.35417"
x="272.70291"
y="232.41422"
id="tspan3803"
style="text-align:center;line-height:100%;text-anchor:middle">Clocks</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)"
d="m 375.59055,196.41449 17.71654,0"
id="path7314"
inkscape:connector-curvature="0" />
d="m 303.44769,228.47453 11.46654,0"
id="path3047"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g>
<g
id="g3079"
transform="translate(0,2.7779236)">
<rect
style="fill:#d9d9d9;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3057"
width="53.149609"
height="24.484011"
x="396.7135"
y="215.06668" />
<text
sodipodi:linespacing="100%"
id="text3059"
y="225.74033"
x="423.45749"
style="font-size:11px;font-style:normal;font-weight:normal;line-height:100%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:center;line-height:100%;text-anchor:middle"
id="tspan3063"
y="225.74033"
x="423.45749"
sodipodi:role="line">RTM</tspan><tspan
style="text-align:center;line-height:100%;text-anchor:middle"
y="236.74033"
x="423.45749"
sodipodi:role="line"
id="tspan3067">detection</tspan></text>
</g>
<rect
y="214.11633"
x="246.70586"
height="23.785234"
width="53.149609"
id="rect3824"
style="fill:#d9d9d9;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="100%"
id="text3826"
y="224.60979"
x="273.32361"
style="font-size:11px;font-style:normal;font-weight:normal;line-height:100%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="text-align:center;line-height:100%;text-anchor:middle"
id="tspan3828"
y="224.60979"
x="273.32361"
sodipodi:role="line">Thermo-</tspan><tspan
style="text-align:center;line-height:100%;text-anchor:middle"
y="235.60979"
x="273.32361"
sodipodi:role="line"
id="tspan3034">meter</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:url(#TriangleOutM)"
d="m 303.44769,226.77163 11.46654,0"
id="path3909"
inkscape:connector-curvature="0"
id="path3089"
d="m 375.59056,230.08661 20.87327,0"
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInM);marker-end:none"
sodipodi:nodetypes="cc" />
</g>
</svg>
This source diff could not be displayed because it is too large. You can view the blob instead.
......@@ -38,7 +38,18 @@
title = {{TPS40210, TPS40211, 4.5~V to 52~V Input Current Mode Boost Controller}},
howpublished = {\url{http://www.ohwr.org/documents/227}}
}
@misc{spec,
title = {{Simple PCIE FMC Carrier (SPEC)}},
howpublished = {\url{http://www.ohwr.org/projects/spec}}
}
@misc{svec,
title = {{Simple VME FMC Carrier (SVEC)}},
howpublished = {\url{http://www.ohwr.org/projects/svec}}
}
@misc{rtmdet,
title = {{Rear Transition Module Detection}},
howpublished = {\url{http://www.ohwr.org/projects/conv-ttl-blo/wiki/RTM_board_detection}}
}
......@@ -132,6 +132,50 @@ The schematics of the CONV-TTL-BLO board can be found at \cite{conv-ttl-blo-sch}
\label{fig:conv-ttl-blo-bd}
\end{figure}
%------------------------------------------------------------------------------
% SEC: VME conn
%------------------------------------------------------------------------------
\subsection{VME connector}
\label{sec:vme}
\begin{tabular}{p{.95\textwidth}}
\hline
\large Schematics: page 8 \\
\hline
\end{tabular}
\vspace*{11pt}
\noindent
The VME backplane consists in two connectors, P1 and P2. The following connections
provided by the P1 connector are used on the CONV-TTL-BLO:
\begin{itemize}
\item the VME power supply pins (3.3~V, 5~V and 12~V)
\item \textit{SERCLK} and \textit{SERDAT} pins, for I$^2$C communication
\item the geographical addressing pins, also necessary for I$^2$C communication
\item the active-low system reset line, connected to the FPGA for resetting the
logic implemented therein
\end{itemize}
Serial communication lines, geographical addressing lines and the system reset line
are isolated from the FPGA by means of a Texas Instruments SN74VMEH22501DGGR bus
transceiver. Their use is based on the SVEC design \cite{svec} and is due to their
compatibility to the VME standard.
Apart from the bus grant and IACK lines, which are daisy-chained, the rest of the
VME signals are not used on the CONV-TTL-BLO board.
The user-defined part of the P2 connector is used for carrying signals from the
CONV-TTL-BLO to the RTM and ultimately the piggyback. The following signals are
routed via the VME P2 connector:
\begin{itemize}
\item blocking input signals (Section~\ref{sec:blo-inp})
\item blocking output signals (Section~\ref{sec:blo-outp})
\item RTM detection and rear panel pulse LED signals (Section~\ref{sec:rmtdet})
\end{itemize}
%------------------------------------------------------------------------------
% SUBSEC: Power
%------------------------------------------------------------------------------
......@@ -146,11 +190,11 @@ The schematics of the CONV-TTL-BLO board can be found at \cite{conv-ttl-blo-sch}
\vspace*{11pt}
Various power levels are needed on the CONV-TTL-BLO board. They are listed in
\noindent Various power levels are needed on the CONV-TTL-BLO board. They are listed in
Table~\ref{tbl:voltage-levels}. All power supplies on the board are derived
in some way from the 3.3~V, 5~V and 12~V VME power supplies.
\begin{table}
\begin{table}[h]
\caption{Voltage levels on CONV-TTL-BLO}
\label{tbl:voltage-levels}
\centerline{
......@@ -193,7 +237,7 @@ using the first design example in the datasheet of the device~\cite{blo-ps-datas
\vspace*{11pt}
There are multiple clock signals on the CONV-TTL-BLO (Table~\ref{tbl:clocks}).
\noindent There are multiple clock signals on the CONV-TTL-BLO (Table~\ref{tbl:clocks}).
A 20~MHz clock for the FPGA is generated directly from a tunable VCXO (OSC3). The
second FPGA clock is a 125~MHz signal generated from a 25~MHz VCXO by means of a Texas
Instruments CDCM61004RHBT PLL IC. Two of the other PLL's output channels are used
......@@ -239,7 +283,7 @@ The design of the clock circuits is based on the SPEC board design \cite{spec}.
\vspace*{11pt}
A Xilinx XC6SLX45T Spartan-6 FPGA is present on the CONV-TTL-BLO board. It is the
\noindent A Xilinx XC6SLX45T Spartan-6 FPGA is present on the CONV-TTL-BLO board. It is the
core part of the blocking conversion system, since it is the device controlling
all the components on the board.
......@@ -271,7 +315,7 @@ HDL Guide \textcolor{red}{\textbf{REFER}}.
\vspace*{11pt}
TTL and TTL-BAR pulses may arrive on front panels of CONV-TTL-BLO boards. The two
\noindent TTL and TTL-BAR pulses may arrive on front panels of CONV-TTL-BLO boards. The two
signal types are described in Sections~4.1~and~4.2 of~\cite{ctb-ug}. Signals
arriving on an input channel go through an input stage consisting of Schmitt
trigger circuits; they are then input to the FPGA, where the pulse gets regenerated
......@@ -329,7 +373,7 @@ output of the channel.
\vspace*{11pt}
Blocking pulses arrive through the LEMO connectors on the rear panel. Through the
\noindent Blocking pulses arrive through the LEMO connectors on the rear panel. Through the
RTM and the P2 connector, they arrive at the blocking input stage on the CONV-TTL-BLO,
where an optocoupler is used to isolate the input signal from the logic levels of
the FPGA. The input pulse then goes into the FPGA, where the pulse is regenerated
......@@ -342,6 +386,7 @@ blocking level.
%------------------------------------------------------------------------------
\subsubsection{Blocking input stage}
\label{sec:blo-inp}
\begin{tabular}{p{.95\textwidth}}
\hline
......@@ -351,20 +396,27 @@ blocking level.
\vspace*{11pt}
The blocking input stage contains the 50~$\Omega$ termination, a transient voltage
suppressor diode to protect against high-voltage spikes from the RTM, a high-pass RC filter which
prevents DC signals from passing to the Avago optocoupler. The optocoupler
\noindent The blocking input stage (Figure~\ref{fig:blo-inp-nodots}) contains the 50~$\Omega$ termination,
a transient voltage suppressor diode to protect against high-voltage spikes from the RTM, a
high-pass RC filter which prevents DC signals from passing to the Avago optocoupler. The optocoupler
isolates the blocking-level stage from the logic stage on the FPGA side. Since the
optocoupler is powered from 5~V, a Schmitt trigger (not shown in Figure~\ref{fig:blo-inp})
optocoupler is powered from 5~V, a Schmitt trigger (not shown in Figure~\ref{fig:blo-inp-nodots})
adapts the 5~V level to the 3.3~V level needed by the FPGA.
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/blo-inp-nodots}}
\caption{Blocking input stage}
\label{fig:blo-inp-nodots}
\end{figure}
The minimum pulse level for this circuit is 3.8~V (see Appendix~\ref{app:blo-min-level}).
The maximum 24~V pulse width that can be safely sustained by the input stage is 3.9~${\mu}s$
with a minimum period of 4.8~${\mu}s$ (see Appendix~\ref{app:blo-max-width}).
The maximum 24~V pulse width that can be safely sustained by the input stage is 3.9~$\mu$s
with a minimum period of 4.8~${\mu}$s (see Appendix~\ref{app:blo-max-width}).
%------------------------------------------------------------------------------
\subsubsection{Blocking output stage}
\label{sec:blo-outp}
\begin{tabular}{p{.95\textwidth}}
\hline
......@@ -374,6 +426,54 @@ with a minimum period of 4.8~${\mu}s$ (see Appendix~\ref{app:blo-max-width}).
\vspace*{11pt}
\noindent The blocking output stage is a flyback converter design with a 1:1 conversion
ratio, shown in Figure~\ref{fig:blo-outp-nodots}. The core part of the output stage is
the flyback transformer assuring galvanic isolation at the output. The transformer is
driven straight from the 24~V blocking supply and controlled via the BSH103 power MOSFET.
The snubber circuit next to the transformer formed by the BAR66 diode and the Zener diode
provides a means to dissipate the energy stored in the leakage inductance of the transformer
when the MOSFET is on.
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/blo-outp-nodots}}
\caption{Blocking output stage}
\label{fig:blo-outp-nodots}
\end{figure}
Upstream of the MOSFET's grid pin is a circuit similar to that in the TTL output stage.
This circuit is shown in Figure~\ref{fig:blo-outp-tristate}. The tri-state buffers'
outputs are high-impedance on startup, thus avoidiing spurious signals on blocking outputs.
The pull-down resistors at the outputs ensure a low-level signal on the MOSFET's grid
on startup.
The tri-state buffers are enabled by means of two signals from the FPGA: the output enable
signal common with the TTL output enable, and a separate, blocking output enable signal.
These two signals go through the IC10 NAND gate to enable the buffers. When the FPGA does
not drive either of these signals, the output enable input of the buffers is kept high via
the pull-up resistor.
\begin{figure}[h]
\centerline{\includegraphics[scale=1]{fig/blo-outp-tristate}}
\caption{Blocking output tri-state buffers}
\label{fig:blo-outp-tristate}
\end{figure}
The maximum pulse width that can be sustained without damaging the MOSFET is
\textcolor{red}{\textbf{!!!}} (see Appendix~\ref{app:blo-max-pw}).
The maximum pulse frequency that can be sustained without damaging the MOSFET
is 210~kHz (see Appendix~\ref{app:blo-max-freq}).
%\vspace*{11pt}
\noindent \textbf{\textit{Note that if the FPGA is improperly configured, a DC high-level signal
on the power MOSFET's grid pin will yield a too high current passing through the MOSFET,
which will lead to its failure. Make sure the FPGA pins driving the blocking output stage
are properly configured to drive time-limited pulses or a DC low-level at the power
MOSFET's grid, or that the blocking output enable signal from the FPGA is low, so as to
keep the outputs of the tri-state buffers in high-impedance.}}
\textcolor{red}{\textbf{details about output circuit}}
\textcolor{red}{\textbf{galvanic isolation}}
......@@ -396,7 +496,7 @@ with a minimum period of 4.8~${\mu}s$ (see Appendix~\ref{app:blo-max-width}).
\vspace*{11pt}
The small form-factor pluggable (SFP) connector on the CONV-TTL-BLO front panel
\noindent The small form-factor pluggable (SFP) connector on the CONV-TTL-BLO front panel
can be used to input an optic fiber cable that may be used for pulse time-tagging
using White Rabbit.
......@@ -414,13 +514,69 @@ using White Rabbit.
\vspace*{11pt}
A DS18B20U+ thermometer chip is provided on board. This chip can be used to provide
\noindent A DS18B20U+ thermometer chip is provided on board. This chip can be used to provide
a unique ID for the board and measure on-board temperature. It communicates to the
FPGA via a Dallas one-wire interface and is powered from 3.3~V.
The Flash chip on-board is used to store FPGA configuration data. It is a Micron
M25P32 SPI Flash memory chip with 32~Mbits storage capability.
%------------------------------------------------------------------------------
% SEC: RTM det
%------------------------------------------------------------------------------
\subsection{RTM detection}
\label{sec:rmtdet}
\begin{tabular}{p{.95\textwidth}}
\hline
\large Schematics: page 8 \\
\hline
\end{tabular}
\vspace*{11pt}
\noindent
The RTM detection circuitry is shown in Figure~\ref{fig:rtmdet}. It works by
connecting the RTM motherboard or piggyback detection lines to ground, based
on the motherboard or piggyback used. Lines not connected to ground are pulled
up to V$_{CC}$ by the pull-up resistor, which yields a low value after
the Schmitt triggers. The outputs of the Schmitt triggers are connected directly
to the FPGA inputs.
An up-to-date list of boards and their RTM detection line connections can be found
at \cite{rtmdet}.
\begin{figure}[h]
\centerline{\includegraphics[scale=1]{fig/rtmdet}}
\caption{RTM detection circuit}
\label{fig:rtmdet}
\end{figure}
%------------------------------------------------------------------------------
% SEC: LEDs, status and pulse
%------------------------------------------------------------------------------
\subsection{Status and pulse LEDs}
\label{sec:leds}
\begin{tabular}{p{.95\textwidth}}
\hline
\large Schematics: page 14 \\
\hline
\end{tabular}
\vspace*{11pt}
The circuit for driving the bicolor status LEDs is based on the SVEC design \cite{svec}.
It consists of the same Texas Instruments SN74VMEH22501DGGR bus buffer chip used
for buffering the VME signals. The control and data lines of the chip are driven
by logic within the FPGA, which controls lighting of each of the LEDs. An example
of how the LEDs can be driven using the FPGA is given in Section~5 of \textcolor{red}{CITE HDL GUIDE}.
TTL (front panel) and blocking (rear panel) pulse LEDs are driven by the FPGA
via a SN7414 Schmitt trigger. In the case of the blocking LEDs, the output of
the Schmitt trigger is connected directly to the VME P2 connector and through
the RTM to the piggyback, where the current-limiting resistor and the LEDare located.
%==============================================================================
% SEC: RTM, RTMP
......@@ -429,8 +585,9 @@ M25P32 SPI Flash memory chip with 32~Mbits storage capability.
\label{sec:rtm}
Rear transition modules (RTMs) are located on the rear side of the VME crate.
The two boards (motherboard and piggyback) of which the RTMs in TTL to blocking
converter systems are composed contain only passive components.
An RTM in TTL to blocking converter systems is made up of two boards, the
motherboard and the piggyback, containing only passive components. The two boards
are detailed in the next subsections.
%------------------------------------------------------------------------------
% SUBSEC: RTMM
......@@ -442,10 +599,12 @@ RTM piggyback board. It provides a female connector to the VME backplane P2
connector and links the blocking and pulse LED signals from the CONV-TTL-BLO
to the piggyback via a 100-pin connector.
\textcolor{red}{\textbf{board pic}}
RTM motherboards are used in both CONV-TTL-BLO and CONV-TTL-RS485 systems, with
different piggybacks.
The motherboard also contains 47~V transient voltage suppressor (TVS) diodes that inhibit
The motherboard also contains 47~V transient voltage suppressor diodes that inhibit
high-voltage pulses arriving on piggyback LEMO connectors.
%------------------------------------------------------------------------------
......@@ -453,11 +612,14 @@ high-voltage pulses arriving on piggyback LEMO connectors.
%------------------------------------------------------------------------------
\subsection{RTM Piggyback}
The RTM piggyback~\cite{rtmp-sch} provides the actual connectors on rear panels of TTL to blocking
converter systems. There are four LEMO connectors and one LED and its corresponding
current-limiting resistor for each of the six blocking channels. The connections for
each of the LEMOs and LEDs are provided via a 100-pin male connector, through the RTM
motherboard, to the CONV-TTL-BLO.
The RTM piggyback~\cite{rtmp-sch} provides the actual connectors on rear panels of
TTL to blocking converter systems. On each of the six blocking channels, there are
four LEMO connectors (one input and three outputs) and one LED together with its
corresponding current-limiting resistor. The connections for each of the LEMOs and
LEDs are made via the 100-pin male connector, through the RTM motherboard, to the
CONV-TTL-BLO.
\textcolor{red}{\textbf{board pic}}
%==============================================================================
% Appendices
......@@ -573,7 +735,7 @@ I_{LED,RMS} = I_{LED} \sqrt{\delta}
\delta \cong 0.81
\end{equation}
With the minimum 4.8~${\mu}s$ pulse period at the output, this gives a maximum input pulse
With the minimum 4.8~$\mu$s pulse period at the output, this gives a maximum input pulse
width of
\begin{equation}
......@@ -583,6 +745,24 @@ t_{p,max} \cong 3.9 {\mu}s
\end{appendices}
%==============================================================================
% APP: Block inp stage calc
%==============================================================================
\section{Blocking output stage calculations}
\label{app:blo-outp}
%------------------------------------------------------------------------------
% SUBSEC: max. pulse width
%------------------------------------------------------------------------------
\subsection{Maximum pulse width}
\label{app:blo-max-pw}
%------------------------------------------------------------------------------
% SUBSEC: max. pulse freq
%------------------------------------------------------------------------------
\subsection{Maximum pulse frequency at nominal pulse width}
\label{app:blo-max-freq}
%==============================================================================
% Bibliography
%==============================================================================
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment