Commit b488cf13 authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

doc: Added manual triggering to hdlguide

Signed-off-by: Theodor-Adrian Stana's avatarTheodor Stana <t.stana@cern.ch>
parent c74ddcd8
...@@ -9,9 +9,9 @@ ...@@ -9,9 +9,9 @@
\noindent \rule{\textwidth}{.1cm} \noindent \rule{\textwidth}{.1cm}
\hfill Gateware v1.1 \hfill Gateware v1.2
\hfill January 28, 2014 \hfill January 30, 2014
\vspace*{3cm} \vspace*{3cm}
......
...@@ -9,8 +9,8 @@ ...@@ -9,8 +9,8 @@
xmlns="http://www.w3.org/2000/svg" xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd" xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape" xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="524.57092" width="454.18225"
height="409.48032" height="301.40942"
id="svg2" id="svg2"
version="1.1" version="1.1"
inkscape:version="0.48.3.1 r9886" inkscape:version="0.48.3.1 r9886"
...@@ -197,10 +197,10 @@ ...@@ -197,10 +197,10 @@
inkscape:pageopacity="0.0" inkscape:pageopacity="0.0"
inkscape:pageshadow="2" inkscape:pageshadow="2"
inkscape:zoom="1.979899" inkscape:zoom="1.979899"
inkscape:cx="215.44646" inkscape:cx="130.26919"
inkscape:cy="77.311634" inkscape:cy="80.180996"
inkscape:document-units="px" inkscape:document-units="px"
inkscape:current-layer="g3974" inkscape:current-layer="layer1"
showgrid="true" showgrid="true"
inkscape:window-width="1855" inkscape:window-width="1855"
inkscape:window-height="1176" inkscape:window-height="1176"
...@@ -226,8 +226,8 @@ ...@@ -226,8 +226,8 @@
units="mm" units="mm"
spacingx="0.5mm" spacingx="0.5mm"
spacingy="0.5mm" spacingy="0.5mm"
originx="-15.346647mm" originx="-25.025436mm"
originy="-109.71778mm" /> originy="-136.21778mm" />
</sodipodi:namedview> </sodipodi:namedview>
<metadata <metadata
id="metadata7"> id="metadata7">
...@@ -245,228 +245,256 @@ ...@@ -245,228 +245,256 @@
inkscape:label="Layer 1" inkscape:label="Layer 1"
inkscape:groupmode="layer" inkscape:groupmode="layer"
id="layer1" id="layer1"
transform="translate(-54.377884,-254.11807)"> transform="translate(-88.672806,-268.29132)">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 186.567,174.62206 0,49.6063 10.62992,0"
id="path8179"
inkscape:connector-curvature="0"
transform="translate(54.377884,254.11807)"
sodipodi:nodetypes="ccc" />
<g <g
id="g3974"> id="g6164"
<rect transform="translate(-4.3344388,-47.518957)">
y="490.43231"
x="194.7872"
height="162.99213"
width="239.17322"
id="rect3118"
style="fill:#000000;fill-opacity:0.3;stroke:none" />
<path
sodipodi:nodetypes="ccccc"
inkscape:connector-curvature="0"
id="path12854"
d="m 377.36221,565.65744 0,21.25984 -138.18898,0 0,23.0315 15.94488,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" />
<g <g
transform="translate(0,62.757873)" id="g8185"
id="g9909"> sodipodi:insensitive="true">
<path <rect
sodipodi:nodetypes="cccc" style="fill:#000000;fill-opacity:0.3;stroke:none"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" id="rect3118"
d="m 103.3412,426.19685 21.25985,0 0,5.31496 26.5748,0" width="233.85828"
id="path9905" height="116.92959"
inkscape:connector-curvature="0" x="199.21632"
transform="translate(61.422577,68.094452)" /> y="493.84012" />
<path <text
sodipodi:nodetypes="cccc" xml:space="preserve"
inkscape:connector-curvature="0" style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#ffffe1;fill-opacity:0.39215686;stroke:none;font-family:Sans"
id="path9907" x="202.41589"
d="m 164.76378,511.50784 21.25985,0 0,-5.31496 26.5748,0" y="501.68991"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> id="text3960"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="202.41589"
y="501.68991"
id="tspan3964"
style="font-size:8px;font-weight:bold;fill:#ffffff;fill-opacity:1">channel</tspan><tspan
id="tspan8181"
sodipodi:role="line"
x="202.41589"
y="511.68991"
style="font-size:8px;font-weight:bold;fill:#ffffff;fill-opacity:1">logic</tspan><tspan
id="tspan8183"
sodipodi:role="line"
x="202.41589"
y="521.68994"
style="font-size:8px;font-weight:bold;fill:#ffffff;fill-opacity:1">x6</tspan></text>
</g> </g>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 267.51968,481.75426 12.40158,0"
id="path6451"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 228.54331,485.29756 23.03149,0"
id="path6432"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
transform="translate(-2.8156685,0.49998489)"
id="g4065">
<rect
y="297.13773"
x="194.15427"
height="23.031549"
width="54.921246"
id="rect4051"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="221.4567"
y="310.82928"
id="text4053"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="221.4567"
y="310.82928"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan4057">I<tspan
id="tspan4100"
style="font-size:65.00091553%;baseline-shift:super">2</tspan>C bridge</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 334.84252,479.9826 76.1811,0"
id="path13508"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path13512"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(301.04038,496.6991)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 380.90551,418.11021 76.1811,-10e-6"
id="path13673"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
id="g6443"
transform="translate(0,-97.750307)">
<path <path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path12624"
d="m 233.85827,565.65744 22.24515,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path3936"
d="m 208.80512,556.79917 c 5.31496,7.08661 5.31496,10.62992 0,17.71654 12.04146,0 21.25984,0 26.5748,-8.85827 -5.31496,-8.85827 -14.53334,-8.85827 -26.5748,-8.85827 z"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path13508" id="path9905"
d="m 354.33071,566.40745 57.19292,-0.5" d="m 164.76378,574.01572 21.25985,0 0,5.31496 26.5748,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
<path sodipodi:nodetypes="cccc" />
transform="translate(301.54037,582.87395)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path13512"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path <path
sodipodi:nodetypes="cc" style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 164.76378,591.73226 21.25985,0 0,-5.31496 26.5748,0"
id="path9907"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path13673" sodipodi:nodetypes="cccc" />
d="m 345.47244,453.54327 111.61417,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="158.08882" x="158.08882"
y="557.05811" y="574.77466"
id="text14802" id="text14802"
sodipodi:linespacing="125%"><tspan sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
x="158.08882" x="158.08882"
y="557.05811" y="574.77466"
id="tspan14806" id="tspan14806"
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end">TTL inputs</tspan></text> style="font-size:12px;font-weight:normal;text-align:end;text-anchor:end">TTL inp.</tspan></text>
<text <text
sodipodi:linespacing="125%" sodipodi:linespacing="125%"
id="text14810" id="text14810"
y="581.32269" y="599.03925"
x="158.08882" x="158.08882"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end" style="font-size:12px;font-weight:normal;text-align:end;text-anchor:end"
id="tspan14812" id="tspan14812"
y="581.32269" y="599.03925"
x="158.08882" x="158.08882"
sodipodi:role="line">Blocking inputs</tspan></text> sodipodi:role="line">Blo. inp.</tspan></text>
<text </g>
sodipodi:linespacing="125%" <text
id="text14814" xml:space="preserve"
y="560.34253" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="465.88629"
y="473.39603"
id="text14814"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="465.88629" x="465.88629"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" y="473.39603"
xml:space="preserve"><tspan id="tspan14816"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start" style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start">TTL outp.</tspan></text>
id="tspan14816" <text
y="560.34253" sodipodi:linespacing="125%"
x="465.88629" id="text14818"
sodipodi:role="line">TTL outputs</tspan></text> y="492.88419"
<text x="464.84332"
xml:space="preserve" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" xml:space="preserve"><tspan
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
id="tspan14820"
y="492.88419"
x="464.84332" x="464.84332"
y="579.83069" sodipodi:role="line">Blo. outp.</tspan></text>
id="text14818" <text
sodipodi:linespacing="125%"><tspan sodipodi:linespacing="125%"
sodipodi:role="line" id="text14822"
x="464.84332" y="414.75974"
y="579.83069" x="465.32379"
id="tspan14820" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start">Blocking outputs</tspan></text> xml:space="preserve"><tspan
<text style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
xml:space="preserve" id="tspan14824"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" y="414.75974"
x="465.32379" x="465.32379"
y="450.19281" sodipodi:role="line">Blo. power</tspan><tspan
id="text14822" style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
sodipodi:linespacing="125%"><tspan y="429.75974"
sodipodi:role="line" x="465.32379"
x="465.32379" sodipodi:role="line"
y="450.19281" id="tspan14826">supply reset</tspan></text>
id="tspan14824" <rect
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start">Blocking power</tspan><tspan style="opacity:0.5;fill:none;stroke:#323333;stroke-width:2;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:6, 6;stroke-dashoffset:0"
id="tspan14826" id="rect4649"
sodipodi:role="line" width="265.74802"
x="465.32379" height="299.40942"
y="465.19281" x="177.16536"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start">supply reset</tspan></text> y="269.29132" />
<rect <text
y="255.11807" xml:space="preserve"
x="177.16536" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.5;fill:#333333;fill-opacity:1;stroke:none;font-family:Sans"
height="407.48032" x="181.19516"
width="265.74802" y="283.22525"
id="rect4649" id="text4651"
style="opacity:0.5;fill:none;stroke:#323333;stroke-width:2;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:6, 6;stroke-dashoffset:0" /> sodipodi:linespacing="125%"><tspan
<text sodipodi:role="line"
sodipodi:linespacing="125%" id="tspan4653"
id="text4651"
y="270.86472"
x="181.19516" x="181.19516"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.5;fill:#333333;fill-opacity:1;stroke:none;font-family:Sans" y="283.22525"
xml:space="preserve"><tspan style="font-size:14px;font-weight:bold;fill:#333333;fill-opacity:1">FPGA</tspan></text>
style="font-size:14px;font-weight:bold;fill:#333333;fill-opacity:1" <text
y="270.86472" xml:space="preserve"
x="181.19516" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
id="tspan4653" x="158.08882"
sodipodi:role="line">FPGA</tspan></text> y="555.13959"
<text id="text3095"
sodipodi:linespacing="125%" sodipodi:linespacing="125%"><tspan
id="text3095" sodipodi:role="line"
y="642.08612"
x="158.08882" x="158.08882"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" y="555.13959"
xml:space="preserve"><tspan id="tspan3097"
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end" style="font-size:12px;font-weight:normal;text-align:end;text-anchor:end">INV-TTL inp.</tspan></text>
id="tspan3097" <text
y="642.08612" sodipodi:linespacing="125%"
x="158.08882" id="text3099"
sodipodi:role="line">INV-TTL inputs</tspan></text> y="555.45502"
<text x="464.84332"
xml:space="preserve" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" xml:space="preserve"><tspan
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
id="tspan3101"
y="555.45502"
x="464.84332" x="464.84332"
y="642.40155" sodipodi:role="line">INV-TTL outp.</tspan></text>
id="text3099" <path
sodipodi:linespacing="125%"><tspan style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
sodipodi:role="line" d="m 411.02362,488.84087 0,-17.71654 44.29134,0"
x="464.84332" id="path3085"
y="642.40155" inkscape:connector-curvature="0" />
id="tspan3101" <path
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start">INV-TTL outputs</tspan></text> inkscape:connector-curvature="0"
<path id="path3087"
inkscape:connector-curvature="0" d="m 411.02362,471.12433 0,17.71654 44.29134,0"
id="path3085" style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#marker3114)" />
d="m 411.52363,575.01572 0,-17.71654 44.29134,0" <g
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" /> id="g4002"
<path transform="translate(-43.466763,-28.346441)">
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#marker3114)"
d="m 411.52363,557.29918 0,17.71654 44.29134,0"
id="path3087"
inkscape:connector-curvature="0" />
<g
transform="translate(0,-4.814911)"
id="g4039">
<rect
transform="matrix(0,-1,1,0,0,0)"
ry="0"
rx="0"
y="191.77208"
x="-467.21649"
height="19.488197"
width="77.952789"
id="rect4029"
style="fill:#cccccc;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
sodipodi:linespacing="125%"
id="text13665"
y="204.1358"
x="-428.6405"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"
transform="matrix(0,-1,1,0,0,0)"><tspan
id="tspan13669"
style="font-weight:bold;text-align:center;text-anchor:middle"
y="204.1358"
x="-428.6405"
sodipodi:role="line">rtm_detector</tspan></text>
</g>
<g <g
transform="translate(-78.06694,5.314972)" id="g4043">
id="g4002">
<rect <rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect13675" id="rect13675"
width="67.322845" width="47.834637"
height="17.716616" height="23.031473"
x="322.44095" x="332.24628"
y="379.13382" /> y="380.90546" />
<text <text
sodipodi:linespacing="125%" sodipodi:linespacing="125%"
id="text13677" id="text13677"
...@@ -474,537 +502,682 @@ ...@@ -474,537 +502,682 @@
x="356.10236" x="356.10236"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:9px;font-weight:bold;text-align:center;text-anchor:middle" style="font-size:8px;font-weight:bold;text-align:center;text-anchor:middle"
y="389.76373" y="389.76373"
x="356.10236" x="356.10236"
id="tspan13679" id="tspan13679"
sodipodi:role="line">conv_regs</tspan></text> sodipodi:role="line">Board</tspan><tspan
</g> style="font-size:8px;font-weight:bold;text-align:center;text-anchor:middle"
<g y="399.76373"
id="g4007"> x="356.10236"
<text
sodipodi:linespacing="125%"
id="text5318"
y="295.49173"
x="277.98489"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:9px;font-weight:bold;text-align:center;text-anchor:middle"
y="295.49173"
x="277.98489"
sodipodi:role="line"
id="tspan14794">xwb_crossbar</tspan></text>
<g
id="g3100"
transform="translate(-98.440943,-39.350744)">
<rect
style="fill:#cccccc;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4677"
width="62.007874"
height="50.1063"
x="345.47244"
y="337.8858"
rx="0"
ry="0" />
<g
transform="translate(-40.666191,98.962264)"
id="g5332">
<path
style="fill:none;stroke:#000000;stroke-width:3;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 395.28817,246.26045 12.19215,0 18.90999,35.43244 12.60666,0"
id="path5322"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path5324"
d="m 395.28817,281.69289 12.19215,0 18.90999,-35.43244 12.60666,0"
style="fill:none;stroke:#000000;stroke-width:3;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
</g>
</g>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)"
d="m 216.14173,325.98422 26.57481,0"
id="path14384"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text14796"
y="412.71426"
x="158.94882"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end"
y="412.71426"
x="158.94882"
id="tspan14798"
sodipodi:role="line">RTM</tspan><tspan
id="tspan4044"
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end"
y="427.71426"
x="158.94882"
sodipodi:role="line">detection</tspan><tspan
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end"
id="tspan14800"
y="442.71426"
x="158.94882"
sodipodi:role="line">lines</tspan></text>
<g
transform="translate(-1.2765981,0)"
id="g9624">
<rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect14846"
width="88.582634"
height="17.716564"
x="345.47739"
y="384.11185" />
<text
sodipodi:linespacing="125%"
id="text14838"
y="395.58975"
x="389.76868"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-weight:bold;text-align:center;text-anchor:middle"
y="395.58975"
x="389.76868"
sodipodi:role="line" sodipodi:role="line"
id="tspan14856">bicolor_led_ctrl</tspan></text> id="tspan4003">registers</tspan></text>
</g> </g>
<path </g>
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" <g
d="m 432.28347,393.30705 24.80314,0" transform="translate(34.661415,-15.32082)"
id="path14844" id="g4020">
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text <text
sodipodi:linespacing="125%" xml:space="preserve"
id="text14848"
y="382.44376"
x="465.32379"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan x="277.98489"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start" y="295.49173"
y="382.44376" id="text5318"
x="465.32379" sodipodi:linespacing="125%"><tspan
id="tspan14794"
sodipodi:role="line" sodipodi:role="line"
id="tspan14852">Bicolor</tspan><tspan x="277.98489"
id="tspan9622" y="295.49173"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start" style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle">Wishbone crossbar</tspan></text>
y="397.44376"
x="465.32379"
sodipodi:role="line">status</tspan><tspan
id="tspan5797"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start"
y="412.44376"
x="465.32379"
sodipodi:role="line">LEDs</tspan></text>
<g <g
transform="translate(-18.988207,0)" transform="translate(-98.440943,-39.350744)"
id="g4064"> id="g3100">
<rect <rect
y="299.40936" ry="0"
x="363.189" rx="0"
height="17.716564" y="337.8858"
width="88.582634" x="345.47244"
id="rect3084" height="50.1063"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" /> width="62.007874"
<text id="rect4677"
xml:space="preserve" style="fill:#cccccc;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" <g
x="407.48032" id="g5332"
y="311.81097" transform="translate(-40.666191,98.962264)">
id="text3086" <path
sodipodi:linespacing="125%"><tspan inkscape:connector-curvature="0"
sodipodi:role="line" id="path5322"
id="tspan3088" d="m 395.28817,246.26045 12.19215,0 18.90999,35.43244 12.60666,0"
x="407.48032" style="fill:none;stroke:#000000;stroke-width:3;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
y="311.81097" <path
style="font-size:9px;font-weight:bold;text-align:center;text-anchor:middle">wb_xil_multiboot</tspan></text> style="fill:none;stroke:#000000;stroke-width:3;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 395.28817,281.69289 12.19215,0 18.90999,-35.43244 12.60666,0"
id="path5324"
inkscape:connector-curvature="0" />
</g>
</g> </g>
<path </g>
sodipodi:nodetypes="cc" <path
inkscape:connector-curvature="0" sodipodi:nodetypes="cc"
id="path3924" inkscape:connector-curvature="0"
d="m 432.78344,308.26767 24.30317,10e-6" id="path14384"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" /> d="m 249.80315,304.72438 28.34646,0"
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="165.338"
y="361.04849"
id="text14796"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="165.338"
y="361.04849"
style="font-size:12px;font-weight:normal;text-align:end;text-anchor:end"
id="tspan4044">RTM det.</tspan><tspan
sodipodi:role="line"
x="165.338"
y="376.04849"
id="tspan14800"
style="font-size:12px;font-weight:normal;text-align:end;text-anchor:end">lines</tspan></text>
<g
transform="translate(0,-28.34642)"
id="g3979">
<rect
y="380.90549"
x="377.36221"
height="23.031549"
width="54.921246"
id="rect14846"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="465.08356" x="404.66464"
y="305.13583" y="390.40369"
id="text3926" id="text14838"
sodipodi:linespacing="125%"><tspan sodipodi:linespacing="125%"><tspan
id="tspan3934" id="tspan14856"
sodipodi:role="line" sodipodi:role="line"
x="465.08356" x="404.66464"
y="305.13583" y="390.40369"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start">M25P32</tspan><tspan style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle">Bicolor LED</tspan><tspan
id="tspan3938"
sodipodi:role="line" sodipodi:role="line"
x="465.08356" x="404.66464"
y="320.13583" y="400.40369"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start">SPI flash</tspan></text> style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan3977">controller</tspan></text>
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path14844"
d="m 432.28347,364.07484 24.80314,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="463.07166"
y="368.33398"
id="text14848"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="463.07166"
y="368.33398"
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
id="tspan5797">Bicolor LEDs</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 432.78344,308.26767 24.30317,10e-6"
id="path3924"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text3926"
y="305.13583"
x="465.08356"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
y="305.13583"
x="465.08356"
sodipodi:role="line"
id="tspan3934">M25P32</tspan><tspan
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
y="320.13583"
x="465.08356"
sodipodi:role="line"
id="tspan3938">SPI flash</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-325.98422"
y="204.17363"
id="text2989"
sodipodi:linespacing="125%"
transform="matrix(0,-1,1,0,0,0)"><tspan
sodipodi:role="line"
id="tspan2991"
x="-325.98422"
y="204.17363"
style="font-size:9px;font-weight:bold;text-align:center;text-anchor:middle" /></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:square;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#marker3114)"
d="m 165.26378,303.45308 22.5315,-0.0232"
id="path3831"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="133.59531"
y="307.79803"
id="text4667"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4669"
x="133.59531"
y="307.79803"
style="font-size:12px;font-weight:normal">SCL</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4671"
y="318.94559"
x="130.53671"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="318.94559"
x="130.53671"
id="tspan4673"
sodipodi:role="line"
style="font-size:12px;font-weight:normal">SDA</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3953"
d="m 165.26378,314.60064 22.5315,-0.0232"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:square;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#marker3106);marker-end:url(#marker3114)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:square;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#marker3114)"
d="m 165.26378,364.08584 22.5315,-0.0116"
id="path4037"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
id="g5729"
transform="translate(42.019679,0.88583873)">
<rect <rect
transform="matrix(0,-1,1,0,0,0)" style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
ry="0" id="rect4055"
rx="0" width="53.149597"
y="191.77208" height="24.803156"
x="-364.9606" x="285.73624"
height="19.488197" y="404.82278" />
width="77.952789"
id="rect2987"
style="fill:#cccccc;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text <text
transform="matrix(0,-1,1,0,0,0)"
sodipodi:linespacing="125%" sodipodi:linespacing="125%"
id="text2989" id="text4057"
y="204.17363" y="414.30835"
x="-325.98422" x="312.48004"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:9px;font-weight:bold;text-align:center;text-anchor:middle" style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="204.17363" y="414.30835"
x="-325.98422" x="312.48004"
id="tspan2991" id="tspan4059"
sodipodi:role="line">wb_i2c_bridge</tspan></text> sodipodi:role="line">Reset</tspan><tspan
<path id="tspan3985"
sodipodi:nodetypes="cc" style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
inkscape:connector-curvature="0" y="424.30835"
id="path3831" x="312.48004"
d="m 165.26378,317.14916 22.5315,-0.0232" sodipodi:role="line">generator</tspan></text>
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:square;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#marker3114)" /> </g>
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)"
d="m 347.2441,308.26768 26.5748,0"
id="path7170"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
id="g9631"
transform="translate(-56.692903,345.97245)">
<rect
y="122.24406"
x="340.15747"
height="25.574808"
width="50.877953"
id="rect13577"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text <text
sodipodi:linespacing="125%" sodipodi:linespacing="125%"
id="text4667" id="text13454"
y="321.49411" y="132.23849"
x="133.59531" x="365.37964"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:12px;font-weight:bold" id="tspan13485"
y="321.49411" style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
x="133.59531" y="132.23849"
id="tspan4669" x="365.37964"
sodipodi:role="line">SCL</tspan></text> sodipodi:role="line">Pulse</tspan><tspan
<text style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
xml:space="preserve" y="142.23849"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" x="365.37964"
x="130.53671"
y="339.21063"
id="text4671"
sodipodi:linespacing="125%"><tspan
style="font-size:12px;font-weight:bold"
sodipodi:role="line" sodipodi:role="line"
id="tspan4673" id="tspan6162">generator</tspan></text>
x="130.53671" </g>
y="339.21063">SDA</tspan></text> <path
<path inkscape:connector-curvature="0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:square;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#marker3106);marker-end:url(#marker3114)" id="path10174"
d="m 165.26378,334.86569 22.5315,-0.0232" d="m 164.76378,551.82906 145.40875,0.25023 145.14243,0.24977"
id="path3953" style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#marker3114);marker-mid:url(#marker3114);marker-end:url(#marker3114)"
inkscape:connector-curvature="0" sodipodi:nodetypes="ccc" />
sodipodi:nodetypes="cc" /> <text
sodipodi:linespacing="125%"
id="text11004"
y="517.57709"
x="465.88629"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start"
id="tspan11006"
y="517.57709"
x="465.88629"
sodipodi:role="line">TTL LEDs</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="464.84332"
y="535.66272"
id="text11008"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="464.84332"
y="535.66272"
id="tspan11010"
style="font-size:12px;font-weight:normal;text-align:start;text-anchor:start">Blo. LEDs</tspan></text>
<path
transform="translate(235.4892,496.6991)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path12852"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<g
id="g5735"
transform="translate(2.2798009,0)">
<path <path
sodipodi:nodetypes="cc" sodipodi:nodetypes="cc"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path4037" id="path14092"
d="m 165.26378,423.43679 22.5315,-0.0116" d="m 405.23033,418.1102 -10e-6,-21.25984"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:square;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#marker3114)" />
<g
transform="translate(33.661414,28.346538)"
id="g4081">
<rect
y="418.11017"
x="244.37401"
height="15.944874"
width="67.437019"
id="rect4055"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="278.03543"
y="428.74008"
id="text4057"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4059"
x="278.03543"
y="428.74008"
style="font-size:9px;font-weight:bold;text-align:center;text-anchor:middle">reset_gen</tspan></text>
</g>
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path4073"
d="m 210.82678,423.42516 17.71653,0 0,-24.80315 12.40158,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" /> style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" />
<path <path
sodipodi:nodetypes="cccc" style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
inkscape:connector-curvature="0" d="m 405.23033,418.6102 -10e-6,18.98819"
id="path4079" id="path14518"
d="m 210.82678,349.01571 17.71653,0 0,40.74803 12.40158,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7168"
d="m 277.67126,380.90548 0,-28.34646"
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path7170"
d="m 313.58268,308.26768 26.5748,0"
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)" />
<g
transform="translate(-80.996053,435.05512)"
id="g9631">
<rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect13577"
width="95.169289"
height="16.716539"
x="340.15747"
y="122.24406" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="343.14102"
y="133.72195"
id="text13454"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="343.14102"
y="133.72195"
style="font-weight:bold;text-align:start;text-anchor:start"
id="tspan13485">conv_pulse_gen</tspan></text>
</g>
<path
sodipodi:nodetypes="ccc"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#marker3114);marker-mid:url(#marker3114);marker-end:url(#marker3114)"
d="m 164.76378,638.77556 145.40875,0.25023 145.14243,0.24977"
id="path10174"
inkscape:connector-curvature="0" />
<g
id="g10992"
transform="translate(-80.996053,478.34645)">
<rect
y="122.24406"
x="340.15747"
height="17.716537"
width="86.811035"
id="rect10994"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
sodipodi:linespacing="125%"
id="text10996"
y="133.72195"
x="351.05811"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan10998"
style="font-weight:bold;text-align:start;text-anchor:start"
y="133.72195"
x="351.05811"
sodipodi:role="line">p_pulse_led</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 345.97244,609.69878 65.55119,-0.5"
id="path11000"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<path <path
sodipodi:type="arc" transform="translate(295.24709,434.82671)"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path11002"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z" d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(301.54037,626.16528)" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="465.88629"
y="604.52362"
id="text11004"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="465.88629"
y="604.52362"
id="tspan11006"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start">TTL LEDs</tspan></text>
<text
sodipodi:linespacing="125%"
id="text11008"
y="622.60925"
x="464.84332"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start"
id="tspan11010"
y="622.60925"
x="464.84332"
sodipodi:role="line">Blocking LEDs</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#marker3114)"
d="m 411.52363,618.30705 0,-17.71654 44.29134,0"
id="path11012"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path11014"
d="m 411.52363,600.59051 0,17.71654 44.29134,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path12852"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535" sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z" sodipodi:rx="1.7716535"
transform="translate(267.37897,582.87395)" /> sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path14524"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<g <g
id="g3172" transform="translate(24.324805,8.9212744)"
transform="translate(53.149609,0)"> id="g5707">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 266.33333,385.44882 0,-30.11811"
id="path14092"
inkscape:connector-curvature="0"
transform="translate(61.422577,68.094452)"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path14518"
d="m 327.75591,454.04327 0,30.11811"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path14524"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(217.77267,470.50977)" />
<path <path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:2, 2;stroke-dashoffset:0;marker-end:url(#marker3114)" style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:2, 2;stroke-dashoffset:0;marker-end:url(#marker3114)"
d="m 273.37802,166.53541 10.62992,-10.62992" d="m 380.90551,403.93697 10.62992,-10.62992"
id="path4170" id="path4170"
inkscape:connector-curvature="0" inkscape:connector-curvature="0" />
transform="translate(54.377884,272.83463)" />
<path <path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:2, 2;stroke-dashoffset:0;marker-end:url(#marker3114)" style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:2, 2;stroke-dashoffset:0;marker-end:url(#marker3114)"
d="M 273.34822,166.47241 262.7481,155.8723" d="M 380.87571,403.87397 370.27559,393.27386"
id="path4376" id="path4376"
inkscape:connector-curvature="0" inkscape:connector-curvature="0" />
transform="translate(54.377884,272.83463)" /> </g>
<g
transform="translate(24.324805,-10.629914)"
id="g5711">
<path <path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:2, 2;stroke-dashoffset:0;marker-end:url(#marker3114)" style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:2, 2;stroke-dashoffset:0;marker-end:url(#marker3114)"
d="m 273.37802,196.65352 -8.85827,8.85827" d="m 380.90551,434.05508 -8.85827,8.85827"
id="path4582" id="path4582"
inkscape:connector-curvature="0" inkscape:connector-curvature="0" />
transform="translate(54.377884,272.83463)" />
<path <path
style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:2, 2;stroke-dashoffset:0;marker-end:url(#marker3114)" style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:2, 2;stroke-dashoffset:0;marker-end:url(#marker3114)"
d="m 273.37802,196.65352 8.85827,8.85827" d="m 380.90551,434.05508 8.85827,8.85827"
id="path4788" id="path4788"
inkscape:connector-curvature="0" inkscape:connector-curvature="0" />
transform="translate(54.377884,272.83463)" />
</g> </g>
</g>
<g
id="g6203"
transform="translate(85.675193,-91.375599)">
<rect
y="602.73938"
x="270.16858"
height="22.27701"
width="46.580173"
id="rect3156"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.75448269;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text <text
sodipodi:linespacing="125%" sodipodi:linespacing="125%"
id="text3960" id="text3158"
y="500.01038" y="611.08496"
x="196.65355" x="293.32974"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#ffffe1;fill-opacity:0.39215686;stroke:none;font-family:Sans" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan xml:space="preserve"><tspan
style="font-size:8px;font-weight:bold;fill:#ffffff;fill-opacity:1" id="tspan3160"
id="tspan3964" style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="500.01038" y="611.08496"
x="196.65355" x="293.32974"
sodipodi:role="line">channel logic x6</tspan></text> sodipodi:role="line">Light</tspan><tspan
<path style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" y="621.08496"
d="m 377.36221,565.15744 0,21.25984 -138.18898,0 0,23.0315 15.94488,0" x="293.32974"
id="path3152" sodipodi:role="line"
inkscape:connector-curvature="0" id="tspan6187">pulse LED</tspan></text>
sodipodi:nodetypes="ccccc" /> </g>
<g
transform="matrix(0.94765019,0,0,0.94765019,-73.844369,399.8594)"
id="g3164">
<g <g
transform="translate(-80.996053,478.34645)" id="g6181">
id="g3154">
<rect <rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" y="118.20075"
id="rect3156" x="364.46063"
width="86.811035" height="23.031553"
height="17.716537" width="37.204529"
x="340.15747" id="rect3166"
y="122.24406" /> style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text <text
xml:space="preserve" sodipodi:linespacing="125%"
id="text3168"
y="127.69895"
x="382.8461"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="351.05811" xml:space="preserve"><tspan
y="133.72195" id="tspan6169"
id="text3158" style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
sodipodi:linespacing="125%"><tspan y="127.69895"
sodipodi:role="line" x="382.8461"
x="351.05811" sodipodi:role="line">Pulse</tspan><tspan
y="133.72195" id="tspan6173"
style="font-weight:bold;text-align:start;text-anchor:start" style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
id="tspan3160">p_pulse_led</tspan></text> y="137.69894"
x="382.8461"
sodipodi:role="line">counter</tspan></text>
</g> </g>
<path </g>
sodipodi:nodetypes="ccccc" <path
inkscape:connector-curvature="0" sodipodi:nodetypes="cc"
id="path3162" inkscape:connector-curvature="0"
d="m 377.36221,566.9291 0,-21.25984 -138.18898,0 0,-23.0315 15.94488,0" id="path5045"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" /> d="m 246.25984,370.27556 38.97638,-10e-6"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" />
<g
transform="translate(0,-83.767776)"
id="g4029">
<rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4031"
width="54.921246"
height="23.031549"
x="377.36221"
y="380.90549" />
<text
sodipodi:linespacing="125%"
id="text4033"
y="390.40369"
x="404.66464"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4037"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="390.40369"
x="404.66464"
sodipodi:role="line">MultiBoot</tspan><tspan
id="tspan4041"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="400.40369"
x="404.66464"
sodipodi:role="line">module</tspan></text>
</g>
<g
id="g4090"
transform="translate(-2.8156828,55.421341)">
<rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4092"
width="54.921246"
height="23.031549"
x="194.15427"
y="297.13773" />
<text
sodipodi:linespacing="125%"
id="text4094"
y="306.51288"
x="221.45082"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan4096"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="306.51288"
x="221.45082"
sodipodi:role="line">RTM</tspan><tspan
id="tspan4098"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="316.51288"
x="221.45082"
sodipodi:role="line">detector</tspan></text>
</g>
<text
sodipodi:linespacing="125%"
id="text4102"
y="368.50391"
x="282.28177"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:end;text-anchor:end"
y="368.50391"
x="282.28177"
id="tspan4104"
sodipodi:role="line">RTM</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="282.02982"
y="357.78903"
id="text4106"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4108"
x="282.02982"
y="357.78903"
style="font-size:6px;text-align:end;text-anchor:end">CWDTO</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:url(#TriangleInS);marker-end:url(#TriangleOutS)"
d="m 312.77522,336.61414 0,12.40158"
id="path5067"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 282.23629,110.84253 17.71654,0 0,37.20472"
id="path5746"
inkscape:connector-curvature="0"
transform="translate(54.377884,254.11807)"
sodipodi:nodetypes="ccc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="337.79697"
y="363.10397"
id="text5952"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5954"
x="337.79697"
y="363.10397"
style="font-size:6px;text-align:start;text-anchor:start">RST</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 191.88196,61.23623 10.62992,0 0,44.29134 28.34646,0"
id="path5956"
inkscape:connector-curvature="0"
transform="translate(54.377884,254.11807)"
sodipodi:nodetypes="cccc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path6193"
d="m 402.16536,522.50229 8.85826,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
transform="translate(301.04038,539.21879)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path6195"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
inkscape:connector-curvature="0"
id="path6197"
d="m 411.02362,531.36056 0,-17.71654 44.29134,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:url(#marker3114)"
d="m 411.02362,513.64402 0,17.71654 44.29134,0"
id="path6199"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 345.47244,479.9826 0,42.51969 7.08661,0"
id="path6209"
inkscape:connector-curvature="0" />
<path
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 210.07676,478.46095 c 3.99764,5.33017 3.99764,7.99527 0,13.32546 9.05697,0 15.99056,0 19.9882,-6.66273 -3.99764,-6.66273 -10.93123,-6.66273 -19.9882,-6.66273 z"
id="path3936"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path6430"
d="m 248.66732,475.09153 c 3.99764,5.33017 3.99764,7.99527 0,13.32546 9.05697,0 15.99056,0 19.9882,-6.66273 -3.99764,-6.66273 -10.93123,-6.66273 -19.9882,-6.66273 z"
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path6453"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(130.96164,502.01406)" />
<g
transform="translate(18.873424,108.5709)"
id="g7533">
<g <g
id="g3164" id="g8173">
transform="translate(-80.996053,390.26378)">
<rect <rect
y="122.24406" style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
x="340.15747" id="rect7521"
height="17.716537" width="35.346222"
width="86.811035" height="23.225937"
id="rect3166" x="203.94177"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" /> y="297.04053" />
<text <text
sodipodi:linespacing="125%" sodipodi:linespacing="125%"
id="text3168" id="text7523"
y="133.72195" y="305.86053"
x="351.05811" x="221.25551"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan xml:space="preserve"><tspan
id="tspan3170" id="tspan7527"
style="font-weight:bold;text-align:start;text-anchor:start" style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="133.72195" y="305.86053"
x="351.05811" x="221.25551"
sodipodi:role="line">p_pulse_cnt</tspan></text> sodipodi:role="line">Manual</tspan><tspan
id="tspan7531"
style="font-size:8px;font-weight:normal;text-align:center;text-anchor:middle"
y="315.86053"
x="221.25551"
sodipodi:role="line">trigger</tspan></text>
</g> </g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#marker3106);marker-end:url(#marker3114)"
d="m 204.28353,132.87399 0,70.86615 44.29134,0 0,31.88976"
id="path4635"
inkscape:connector-curvature="0"
transform="translate(54.377884,272.83463)"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 243.25991,129.33069 0,40.74803"
id="path5045"
inkscape:connector-curvature="0"
transform="translate(54.377884,272.83463)" />
</g> </g>
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path7539"
d="m 297.63779,375.59052 0,12.40157 -56.69291,0 0,14.17323"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)" />
<text
sodipodi:linespacing="125%"
id="text7745"
y="386.22043"
x="282.8757"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px"
y="386.22043"
x="282.8757"
id="tspan7747"
sodipodi:role="line">MPT</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 240.94488,485.29756 0,37.20472 26.5748,0"
id="path7749"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114)"
d="m 306.49606,522.50228 14.17323,0"
id="path7963"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="310.23734"
y="518.09711"
id="text8169"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan8171"
x="310.23734"
y="518.09711"
style="font-size:6px">CHxPCR</tspan></text>
<path
inkscape:connector-curvature="0"
id="path8192"
d="m 313.58268,393.30705 0,-14.17323"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3114);marker-start:url(#marker3114)" />
<text
sodipodi:linespacing="125%"
id="text8810"
y="401.3035"
x="301.37906"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px"
y="401.3035"
x="301.37906"
id="tspan8812"
sodipodi:role="line">CHxPCR</tspan></text>
</g> </g>
</svg> </svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="228.89287"
height="280.55576"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="man-trig-fsm.svg">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3955"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="0.9899495"
inkscape:cx="51.039525"
inkscape:cy="72.595645"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="false"
inkscape:window-width="1855"
inkscape:window-height="1148"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0" />
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-195.97395,-202.91246)">
<g
id="g3765">
<path
transform="matrix(0.37647987,0,0,0.37647987,123.32929,149.27814)"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
sodipodi:ry="52.142857"
sodipodi:rx="90"
sodipodi:cy="195.93361"
sodipodi:cx="284.28571"
id="path2985"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text3755"
y="226.68822"
x="218.92159"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="226.68822"
x="218.92159"
id="tspan3757"
sodipodi:role="line">IDLE</tspan></text>
</g>
<g
id="g3770"
transform="translate(0,-2.3033295)">
<path
sodipodi:type="arc"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3759"
sodipodi:cx="284.28571"
sodipodi:cy="195.93361"
sodipodi:rx="90"
sodipodi:ry="52.142857"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
transform="matrix(0.37647987,0,0,0.37647987,123.32929,211.65506)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="214.68575"
y="289.06024"
id="text3761"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3763"
x="214.68575"
y="289.06024"
style="font-size:10px">PASS1</tspan></text>
</g>
<g
id="g3775"
transform="translate(0,57.770204)">
<path
transform="matrix(0.37647987,0,0,0.37647987,123.32929,211.65506)"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
sodipodi:ry="52.142857"
sodipodi:rx="90"
sodipodi:cy="195.93361"
sodipodi:cx="284.28571"
id="path3777"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text3779"
y="289.06024"
x="214.68575"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="289.06024"
x="214.68575"
id="tspan3781"
sodipodi:role="line">PASS2</tspan></text>
</g>
<g
transform="translate(0,117.84375)"
id="g3783">
<path
sodipodi:type="arc"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3785"
sodipodi:cx="284.28571"
sodipodi:cy="195.93361"
sodipodi:rx="90"
sodipodi:ry="52.142857"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
transform="matrix(0.37647987,0,0,0.37647987,123.32929,211.65506)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="214.68575"
y="289.06024"
id="text3787"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3789"
x="214.68575"
y="289.06024"
style="font-size:10px">PASS3</tspan></text>
</g>
<g
id="g3799"
transform="translate(110.20613,177.91737)">
<path
transform="matrix(0.37647987,0,0,0.37647987,123.32929,211.65506)"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
sodipodi:ry="52.142857"
sodipodi:rx="90"
sodipodi:cy="195.93361"
sodipodi:cx="284.28571"
id="path3793"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text3795"
y="289.06024"
x="219.78584"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="289.06024"
x="219.78584"
id="tspan3797"
sodipodi:role="line">GEN</tspan></text>
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3804"
d="m 230.35714,242.71932 0,17.06518"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 230.35714,302.71932 0,17.06518"
id="path4250"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4252"
d="m 230.35714,362.54074 0,17.06518"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 230.35714,422.64481 0,17.06518"
id="path4254"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text4256"
y="254.51773"
x="210.52301"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold"
y="254.51773"
x="210.52301"
id="tspan4258"
sodipodi:role="line">0xde</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="210.75739"
y="314.51773"
id="text4260"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4262"
x="210.75739"
y="314.51773"
style="font-size:6px;font-weight:bold">0xad</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4264"
y="374.33914"
x="210.52301"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold"
y="374.33914"
x="210.52301"
id="tspan4266"
sodipodi:role="line">0xbe</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="211.8736"
y="434.44321"
id="text4268"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4270"
x="211.8736"
y="434.44321"
style="font-size:6px;font-weight:bold">0xef</tspan></text>
<g
id="g4842">
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="270.07297"
y="281.25565"
id="text4700"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4702"
x="270.07297"
y="281.25565"
style="font-size:6px;font-weight:bold">!= 0xad</tspan></text>
<g
transform="translate(21.973897,4.2478605)"
id="g4786">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 242.34396,278.86894 39.02947,0"
id="path4768"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
id="g4778"
transform="translate(0,-184.35284)">
<path
sodipodi:type="arc"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:21.2494761, 21.2494761;stroke-dashoffset:0"
id="path4780"
sodipodi:cx="284.28571"
sodipodi:cy="195.93361"
sodipodi:rx="90"
sodipodi:ry="52.142857"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
transform="matrix(0.37647987,0,0,0.37647987,211.56152,389.45671)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="307.15381"
y="466.86679"
id="text4782"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4784"
x="307.15381"
y="466.86679"
style="font-size:10px">IDLE</tspan></text>
</g>
</g>
</g>
<g
id="g4852"
transform="translate(0,60.073533)">
<text
sodipodi:linespacing="125%"
id="text4854"
y="281.25565"
x="270.07297"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:bold"
y="281.25565"
x="270.07297"
id="tspan4856"
sodipodi:role="line">!= 0xbe</tspan></text>
<g
id="g4858"
transform="translate(21.973897,4.2478605)">
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4860"
d="m 242.34396,278.86894 39.02947,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<g
transform="translate(0,-184.35284)"
id="g4862">
<path
transform="matrix(0.37647987,0,0,0.37647987,211.56152,389.45671)"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
sodipodi:ry="52.142857"
sodipodi:rx="90"
sodipodi:cy="195.93361"
sodipodi:cx="284.28571"
id="path4864"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:21.2494761, 21.2494761;stroke-dashoffset:0"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text4866"
y="466.86679"
x="307.15381"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="466.86679"
x="307.15381"
id="tspan4868"
sodipodi:role="line">IDLE</tspan></text>
</g>
</g>
</g>
<g
id="g3075">
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="270.07297"
y="401.40274"
id="text4872"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4874"
x="270.07297"
y="401.40274"
style="font-size:6px;font-weight:bold">!= 0xef</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4878"
d="m 264.31786,403.26388 39.02947,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<g
transform="translate(21.973897,-59.9579)"
id="g4880">
<path
transform="matrix(0.37647987,0,0,0.37647987,211.56152,389.45671)"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
sodipodi:ry="52.142857"
sodipodi:rx="90"
sodipodi:cy="195.93361"
sodipodi:cx="284.28571"
id="path4882"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:21.2494761, 21.2494761;stroke-dashoffset:0"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text4884"
y="466.86679"
x="307.15381"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="466.86679"
x="307.15381"
id="tspan4886"
sodipodi:role="line">IDLE</tspan></text>
</g>
</g>
<g
transform="translate(0,177.91737)"
id="g3050">
<path
sodipodi:type="arc"
style="fill:none;stroke:#000000;stroke-width:2.65618443;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3052"
sodipodi:cx="284.28571"
sodipodi:cy="195.93361"
sodipodi:rx="90"
sodipodi:ry="52.142857"
d="m 374.28571,195.93361 c 0,28.7977 -40.29438,52.14286 -90,52.14286 -49.70563,0 -90,-23.34516 -90,-52.14286 0,-28.79771 40.29437,-52.14286 90,-52.14286 49.70562,0 90,23.34515 90,52.14286 z"
transform="matrix(0.37647987,0,0,0.37647987,123.32929,211.65506)" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="203.55537"
y="287.95184"
id="text3054"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3056"
x="203.55537"
y="287.95184"
style="font-size:10px">GET_CHAN</tspan></text>
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3073"
d="m 264.31786,463.33749 39.02947,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#TriangleOutM)"
d="m 178.39286,260.13516 50,0 0,-240.083113 -156.329227,0"
id="path3084"
inkscape:connector-curvature="0"
transform="translate(195.97395,202.91246)"
sodipodi:nodetypes="cccc" />
</g>
</svg>
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
xmlns="http://www.w3.org/2000/svg" xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd" xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape" xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="534.82196" width="510.67554"
height="270.0524" height="270.0524"
id="svg3599" id="svg3599"
version="1.1" version="1.1"
...@@ -24,9 +24,9 @@ ...@@ -24,9 +24,9 @@
borderopacity="1.0" borderopacity="1.0"
inkscape:pageopacity="0.0" inkscape:pageopacity="0.0"
inkscape:pageshadow="2" inkscape:pageshadow="2"
inkscape:zoom="1.4" inkscape:zoom="3.959798"
inkscape:cx="351.68479" inkscape:cx="130.35037"
inkscape:cy="189.96429" inkscape:cy="146.77337"
inkscape:document-units="px" inkscape:document-units="px"
inkscape:current-layer="layer1" inkscape:current-layer="layer1"
showgrid="true" showgrid="true"
...@@ -38,7 +38,9 @@ ...@@ -38,7 +38,9 @@
fit-margin-top="0" fit-margin-top="0"
fit-margin-left="0" fit-margin-left="0"
fit-margin-right="0" fit-margin-right="0"
fit-margin-bottom="0"> fit-margin-bottom="0"
showguides="true"
inkscape:guide-bbox="true">
<inkscape:grid <inkscape:grid
type="xygrid" type="xygrid"
id="grid3607" id="grid3607"
...@@ -49,7 +51,7 @@ ...@@ -49,7 +51,7 @@
units="mm" units="mm"
spacingx="0.5mm" spacingx="0.5mm"
spacingy="0.5mm" spacingy="0.5mm"
originx="-40.118535mm" originx="-46.933192mm"
originy="-204.42944mm" /> originy="-204.42944mm" />
</sodipodi:namedview> </sodipodi:namedview>
<metadata <metadata
...@@ -68,24 +70,25 @@ ...@@ -68,24 +70,25 @@
inkscape:label="Layer 1" inkscape:label="Layer 1"
inkscape:groupmode="layer" inkscape:groupmode="layer"
id="layer1" id="layer1"
transform="translate(-142.15228,-57.953476)"> transform="translate(-166.29871,-57.953476)">
<path <path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 230.31496,134.64565 -53.14961,0" d="m 230.31496,134.64565 -35.43308,0"
id="path4381" id="path4381"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="149.89642" x="193.11023"
y="138.18895" y="138.18895"
id="text4395" id="text4395"
sodipodi:linespacing="125%"><tspan sodipodi:linespacing="125%"><tspan
sodipodi:role="line" sodipodi:role="line"
id="tspan4397" id="tspan4397"
x="149.89642" x="193.11023"
y="138.18895">trig_i</tspan></text> y="138.18895"
style="text-align:end;text-anchor:end">trig_i</tspan></text>
<path <path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 212.59843,134.64565 0,85.03937 106.29921,0" d="m 212.59843,134.64565 0,85.03937 106.29921,0"
...@@ -213,20 +216,22 @@ ...@@ -213,20 +216,22 @@
</g> </g>
<path <path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 230.31496,77.952734 -17.71653,0 -35.43308,0" d="m 230.31496,77.952734 -17.71653,0 -17.71655,0"
id="path4463" id="path4463"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<text <text
sodipodi:linespacing="125%" sodipodi:linespacing="125%"
id="text4465" id="text4465"
y="81.49604" y="81.49604"
x="162.99213" x="193.11023"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan xml:space="preserve"><tspan
y="81.49604" y="81.49604"
x="162.99213" x="193.11023"
id="tspan4467" id="tspan4467"
sodipodi:role="line">'1'</tspan></text> sodipodi:role="line"
style="text-align:end;text-anchor:end">'1'</tspan></text>
<path <path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 283.46457,77.952734 310.03936,-2.9e-5" d="m 283.46457,77.952734 310.03936,-2.9e-5"
...@@ -325,9 +330,10 @@ ...@@ -325,9 +330,10 @@
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path <path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 318.89764,276.37793 -141.73229,0" d="m 318.89764,276.37793 -124.01576,0"
id="path4566" id="path4566"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 310.03937,276.37793 0,49.6063 168.30708,0 0,-49.6063 8.85827,0" d="m 310.03937,276.37793 0,49.6063 168.30708,0 0,-49.6063 8.85827,0"
...@@ -363,13 +369,14 @@ ...@@ -363,13 +369,14 @@
sodipodi:linespacing="125%" sodipodi:linespacing="125%"
id="text4622" id="text4622"
y="279.92123" y="279.92123"
x="141.60052" x="193.11023"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan xml:space="preserve"><tspan
y="279.92123" y="279.92123"
x="141.60052" x="193.11023"
id="tspan4624" id="tspan4624"
sodipodi:role="line">clk125</tspan></text> sodipodi:role="line"
style="text-align:end;text-anchor:end">clk_i</tspan></text>
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
...@@ -586,5 +593,80 @@ ...@@ -586,5 +593,80 @@
x="514.12476 517.00476 519.54077 525.83679 530.90875 534.05273 539.12476 544.19678 547.33276 549.87677 556.41278 561.4848 566.40479 571.47675 574.02075 579.09277 581.30078 583.51672 586.65277 591.05273 596.12476 598.66876 603.70874 605.91675 609.06079 613.98077 617.27673 619.81274 622.02875 626.18872 628.73279 633.80475 636.01276 640.18073 645.07678 650.14874 652.36475 657.28473" x="514.12476 517.00476 519.54077 525.83679 530.90875 534.05273 539.12476 544.19678 547.33276 549.87677 556.41278 561.4848 566.40479 571.47675 574.02075 579.09277 581.30078 583.51672 586.65277 591.05273 596.12476 598.66876 603.70874 605.91675 609.06079 613.98077 617.27673 619.81274 622.02875 626.18872 628.73279 633.80475 636.01276 640.18073 645.07678 650.14874 652.36475 657.28473"
style="font-size:8px;font-variant:normal;font-weight:normal;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans;-inkscape-font-specification:DejaVuSans">- Output when glitch filter is disabled</tspan> style="font-size:8px;font-variant:normal;font-weight:normal;writing-mode:lr-tb;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;font-family:DejaVu Sans;-inkscape-font-specification:DejaVuSans">- Output when glitch filter is disabled</tspan>
</text> </text>
<text
sodipodi:linespacing="125%"
id="text3071"
y="108.07082"
x="233.85826"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="108.07082"
x="233.85826"
id="tspan3073"
sodipodi:role="line">EN</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 52.729603,46.574036 35.433068,0"
id="path3075"
inkscape:connector-curvature="0"
transform="translate(142.15228,57.953476)"
sodipodi:nodetypes="cc" />
<text
sodipodi:linespacing="125%"
id="text3077"
y="108.07082"
x="193.11023"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="108.07082"
x="193.11023"
id="tspan3079"
sodipodi:role="line"
style="text-align:end;text-anchor:end">gf_en</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="322.44092"
y="249.80312"
id="text3081"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3083"
x="322.44092"
y="249.80312">EN</tspan></text>
<path
inkscape:connector-curvature="0"
id="path3085"
d="m 292.32283,246.2598 26.5748,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="290.55115"
y="249.80312"
id="text3087"
sodipodi:linespacing="125%"><tspan
style="text-align:end;text-anchor:end"
sodipodi:role="line"
id="tspan3089"
x="290.55115"
y="249.80312">gf_en</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3091"
y="249.80312"
x="411.02362"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="249.80312"
x="411.02362"
id="tspan3093"
sodipodi:role="line">EN</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 372.04723,246.2598 35.43308,0"
id="path3101"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
</g> </g>
</svg> </svg>
...@@ -10,11 +10,11 @@ ...@@ -10,11 +10,11 @@
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd" xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape" xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="649.06592" width="649.06592"
height="294.55118" height="286.4646"
id="svg2" id="svg2"
version="1.1" version="1.1"
inkscape:version="0.48.3.1 r9886" inkscape:version="0.48.3.1 r9886"
sodipodi:docname="pulse-rep.pdf"> sodipodi:docname="pulse-rep.svg">
<defs <defs
id="defs4"> id="defs4">
<marker <marker
...@@ -53,9 +53,9 @@ ...@@ -53,9 +53,9 @@
borderopacity="1.0" borderopacity="1.0"
inkscape:pageopacity="0.0" inkscape:pageopacity="0.0"
inkscape:pageshadow="2" inkscape:pageshadow="2"
inkscape:zoom="1.979899" inkscape:zoom="0.9899495"
inkscape:cx="284.16277" inkscape:cx="336.5785"
inkscape:cy="144.97264" inkscape:cy="-24.823563"
inkscape:document-units="px" inkscape:document-units="px"
inkscape:current-layer="layer1" inkscape:current-layer="layer1"
showgrid="true" showgrid="true"
...@@ -81,7 +81,7 @@ ...@@ -81,7 +81,7 @@
spacingx="0.5mm" spacingx="0.5mm"
spacingy="0.5mm" spacingy="0.5mm"
originx="0.53971377mm" originx="0.53971377mm"
originy="-204.43556mm" /> originy="-207.07666mm" />
</sodipodi:namedview> </sodipodi:namedview>
<metadata <metadata
id="metadata7"> id="metadata7">
...@@ -91,7 +91,7 @@ ...@@ -91,7 +91,7 @@
<dc:format>image/svg+xml</dc:format> <dc:format>image/svg+xml</dc:format>
<dc:type <dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" /> rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title> <dc:title />
</cc:Work> </cc:Work>
</rdf:RDF> </rdf:RDF>
</metadata> </metadata>
...@@ -99,7 +99,19 @@ ...@@ -99,7 +99,19 @@
inkscape:label="Layer 1" inkscape:label="Layer 1"
inkscape:groupmode="layer" inkscape:groupmode="layer"
id="layer1" id="layer1"
transform="translate(1.9123726,-33.433089)"> transform="translate(1.9123726,-32.161435)">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 396.99111,104.75592 28.34646,0 0,19.48819 12.40157,0"
id="path9412"
inkscape:connector-curvature="0"
transform="translate(-1.9123726,33.433089)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 407.48031,178.93704 15.94489,0 0,-14.17323 12.40157,0"
id="path9391"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<rect <rect
style="fill:#e5e5e5;fill-opacity:1;stroke:none" style="fill:#e5e5e5;fill-opacity:1;stroke:none"
id="rect5381" id="rect5381"
...@@ -178,35 +190,39 @@ ...@@ -178,35 +190,39 @@
</g> </g>
<path <path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 384.44882,164.76379 -21.25984,0 0,134.6457 -350.787406,-1e-5" d="m 380.90551,141.73231 -26.5748,0 0,157.67718 -341.929136,-1e-5"
id="path3872" id="path3872"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" /> sodipodi:nodetypes="cccc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 405.70866,161.22048 37.20473,0" d="m 455.31496,161.2205 23.0315,-2e-5"
id="path3882" id="path3882"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<rect <g
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" id="g9384"
id="rect3884" transform="translate(35.317056,0)">
width="26.574802" <rect
height="49.606312" y="143.50394"
x="442.91339" x="442.91339"
y="143.50394" /> height="49.606312"
<text width="26.574802"
xml:space="preserve" id="rect3884"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
x="448.34436" <text
y="171.94724" sodipodi:linespacing="125%"
id="text3886" id="text3886"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3888"
x="448.34436"
y="171.94724" y="171.94724"
style="font-size:10px;font-weight:bold">PG</tspan></text> x="448.34436"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;font-weight:bold"
y="171.94724"
x="448.34436"
id="tspan3888"
sodipodi:role="line">PG</tspan></text>
</g>
<path <path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 331.29921,115.15751 0,-24.803167" d="m 331.29921,115.15751 0,-24.803167"
...@@ -288,19 +304,20 @@ ...@@ -288,19 +304,20 @@
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<path <path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 395.07873,147.04725 8.85827,0 0,-17.71653 8.85827,0 0,17.71653 26.57481,0" d="m 442.91338,139.96066 8.85827,0 0,-17.71653 8.85827,0 0,17.71653 26.57481,0"
id="path3959" id="path3959"
inkscape:connector-curvature="0" /> inkscape:connector-curvature="0" />
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path3961" id="path3961"
d="m 473.03149,147.04726 8.85827,0 0,-17.71653 8.85827,0 0,17.71653 26.57481,0" d="m 513.77952,139.96066 8.85827,0 0,-17.71653 8.85827,0 0,17.71653 26.57481,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path <path
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
id="path3963" id="path3963"
d="m 469.48822,161.22048 46.06299,0" d="m 504.92126,161.22048 10.62995,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cc" />
<path <path
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none" style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m 216.14173,108.07088 17.71653,0" d="m 216.14173,108.07088 17.71653,0"
...@@ -349,14 +366,14 @@ ...@@ -349,14 +366,14 @@
sodipodi:nodetypes="cc" /> sodipodi:nodetypes="cc" />
<path <path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 331.79921,116.15751 -21.25984,0 0,-23.031498 -24.80315,2.5e-5" d="m 328.2559,93.126037 -42.51968,0"
id="path4059" id="path4059"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
transform="translate(52.649606,41.519663)" transform="translate(52.649606,41.519663)"
sodipodi:nodetypes="cccc" /> sodipodi:nodetypes="cc" />
<path <path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 380.90551,152.61943 c 5.16063,6.88084 5.16063,10.32125 0,17.20209 12.04146,0 20.64251,0 25.80315,-8.60104 -5.16064,-8.60105 -13.76169,-8.60105 -25.80315,-8.60105 z" d="m 376.3622,129.58796 c 5.16063,6.88084 5.16063,10.32125 0,17.20209 12.04146,0 20.64251,0 25.80315,-8.60104 -5.16064,-8.60105 -13.76169,-8.60105 -25.80315,-8.60105 z"
id="path3936" id="path3936"
inkscape:connector-curvature="0" inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" /> sodipodi:nodetypes="cccc" />
...@@ -594,20 +611,19 @@ ...@@ -594,20 +611,19 @@
sodipodi:linespacing="125%" sodipodi:linespacing="125%"
id="text5141" id="text5141"
y="187.7953" y="187.7953"
x="430.51181" x="465.94489"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan xml:space="preserve"><tspan
y="187.7953" y="187.7953"
x="430.51181" x="465.94489"
id="tspan5143" id="tspan5143"
sodipodi:role="line" sodipodi:role="line"
style="text-align:end;text-anchor:end">gfen</tspan></text> style="text-align:end;text-anchor:end">gfen</tspan></text>
<path <path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 414.70765,142.73232 -10.62992,0" d="m 478.34646,184.25198 -10.62992,0"
id="path5145" id="path5145"
inkscape:connector-curvature="0" inkscape:connector-curvature="0" />
transform="translate(28.205738,41.519663)" />
<text <text
xml:space="preserve" xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans" style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
...@@ -747,18 +763,6 @@ ...@@ -747,18 +763,6 @@
id="path5375" id="path5375"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" /> sodipodi:type="arc" />
<path
style="fill:none;stroke:#000000;stroke-width:4;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:32, 32;stroke-dashoffset:0"
d="m 191.33858,35.433089 0,290.551171"
id="path5377"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path5406"
d="m 628.93701,35.433089 0,290.551171"
style="fill:none;stroke:#000000;stroke-width:4;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:32, 32;stroke-dashoffset:0" />
<path <path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 58.464574,170.07872 7.08661,0" d="m 58.464574,170.07872 7.08661,0"
...@@ -855,5 +859,108 @@ ...@@ -855,5 +859,108 @@
x="74.409447" x="74.409447"
y="271.25989" y="271.25989"
style="font-style:normal;text-align:center;text-anchor:middle">sig.</tspan></text> style="font-style:normal;text-align:center;text-anchor:middle">sig.</tspan></text>
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path9389"
d="m 431.28346,152.61945 c 5.16063,6.88084 5.16063,10.32125 0,17.20209 12.04146,0 20.64251,0 25.80315,-8.60104 -5.16064,-8.60105 -13.76169,-8.60105 -25.80315,-8.60105 z"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<g
transform="translate(-84.064396,-138.28575)"
id="g9393">
<g
id="g9405"
transform="translate(9.9248237,134.64567)">
<g
id="g9940"
transform="translate(-4.6342965,-3.7735205e-5)">
<rect
style="fill:none;stroke:#000000;stroke-width:0.55000001;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect9395"
width="45.062992"
height="40.747997"
x="440.87186"
y="161.31731" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="463.22269"
y="171.94724"
id="text9397"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan9399"
x="463.22269"
y="171.94724"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle">Manual</tspan><tspan
id="tspan9416"
sodipodi:role="line"
x="463.22269"
y="184.44724"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle">pulse</tspan><tspan
sodipodi:role="line"
x="463.22269"
y="196.94724"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
id="tspan9403">trigger</tspan></text>
</g>
<g
transform="translate(71.546806,-90.354368)"
id="g9947">
<g
id="g9966"
transform="translate(34.752405,-3.5433002)">
<rect
style="fill:none;stroke:#000000;stroke-width:0.55000001;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect9949"
width="47.15398"
height="26.57481"
x="440.87186"
y="161.31731" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="464.25354"
y="172.08273"
id="text9951"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="464.25354"
y="172.08273"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle"
id="tspan9957">Pulse</tspan><tspan
id="tspan9964"
sodipodi:role="line"
x="464.25354"
y="184.58273"
style="font-size:10px;font-weight:bold;text-align:center;text-anchor:middle">counter</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 425.33757,104.75592 0,-62.007876 49.6063,0"
id="path9972"
inkscape:connector-curvature="0"
transform="translate(0.6803937,127.42754)" />
</g>
</g>
</g>
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path9414"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(313.44196,154.90551)" />
<rect
style="fill:none;stroke:#000000;stroke-width:3;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:18, 18;stroke-dashoffset:10.2"
id="rect9974"
width="434.05524"
height="283.4646"
x="196.79427"
y="0.22834618"
transform="translate(-1.9123726,33.433089)" />
</g> </g>
</svg> </svg>
...@@ -61,6 +61,7 @@ ...@@ -61,6 +61,7 @@
20-11-2013 & 1.04 & Changed system clock to 20~MHz \\ 20-11-2013 & 1.04 & Changed system clock to 20~MHz \\
05-01-2014 & 1.05 & Updated folder structure and renamed \textit{vbcp\_wb} to \textit{i2c\_bridge} \\ 05-01-2014 & 1.05 & Updated folder structure and renamed \textit{vbcp\_wb} to \textit{i2c\_bridge} \\
28-01-2014 & 1.06 & Added pulse counters in HDL \\ 28-01-2014 & 1.06 & Added pulse counters in HDL \\
30-01-2014 & 1.07 & Added manual pulse triggering in HDL \\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -106,18 +107,20 @@ the CONV-TTL-BLO capabilities: ...@@ -106,18 +107,20 @@ the CONV-TTL-BLO capabilities:
\begin{itemize} \begin{itemize}
\item pulse detection (on pulse rising edge) \item pulse detection (on pulse rising edge)
\item fixed-width pulse generation with pulse rejection \item fixed-width pulse generation with pulse rejection
\item status retrieval (diagnostics) via I$^2$C \item diagnostics via I$^2$C
\begin{itemize} \begin{itemize}
\item gateware version \item gateware version
\item switches and RTM detection lines \item switches and RTM detection lines
\item pulse counters \item pulse counters
\item remote reset \item remote reset
\item manual pulse triggering
\end{itemize} \end{itemize}
\item remote reprogramming via I$^2$C \item remote reprogramming via I$^2$C
\end{itemize} \end{itemize}
Figure~\ref{fig:hdl-bd} shows a simplified block diagram of the HDL gateware. Each of the Figure~\ref{fig:hdl-bd} shows a simplified block diagram of the HDL gateware. The
blocks in the figure is presented in following sections. blocks in this figure implemented as part of the CONV-TTL-BLO gateware are presented
in the sections that follow.
\begin{figure}[h] \begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/hdl-bd}} \centerline{\includegraphics[width=\textwidth]{fig/hdl-bd}}
...@@ -138,7 +141,7 @@ blocks in the figure is presented in following sections. ...@@ -138,7 +141,7 @@ blocks in the figure is presented in following sections.
%============================================================================== %==============================================================================
% SEC: Clocks % SEC: Clocks
%============================================================================== %==============================================================================
\section{FPGA Clocks} \section{FPGA clocks}
\label{sec:clocks} \label{sec:clocks}
There are two clock signals input to the FPGA (Figure~\ref{fig:clocks}). There are two clock signals input to the FPGA (Figure~\ref{fig:clocks}).
...@@ -171,29 +174,88 @@ Table~\ref{tbl:clocks} lists the clock domains used in the gateware. ...@@ -171,29 +174,88 @@ Table~\ref{tbl:clocks} lists the clock domains used in the gateware.
} }
\end{table} \end{table}
%==============================================================================
% SEC: Mem-mapped periphs
%==============================================================================
\section{Memory-mapped peripherals}
\label{sec:periphs}
This section details the various peripherals mapped on the internal
Wishbone bus. Access to these peripherals is made through the two serial lines
on the VME P1 connector (SERCLK, SERDAT). A protocol based on I$^2$C is used to
access these peripherals. The protocol, as well as the bridge component
translating I$^2$C accesses into Wishbone accesses, are defined in the bridge
component's documentation.
The complete memory map of the gateware can be found in Appendix~\ref{app:memmap}.
%------------------------------------------------------------------------------
% SUBSEC: Bridge
%------------------------------------------------------------------------------
\subsection{I$^2$C to Wishbone bridge}
\label{sec:i2c-bridge}
The \textit{wb\_i2c\_bridge} module implements a bridge to translate I$^2$C accesses
on the VME P1 connector into Wishbone accesses on the FPGA. The module provides
one I$^2$C slave interface for connecting to an ELMA SysMon and one Wishbone
master interface.
Details about the module's implementation can be found in its documentation.
%------------------------------------------------------------------------------
% SUBSEC: conv_regs
%------------------------------------------------------------------------------
\subsection{Converter board registers}
\label{sec:periphs-conv-regs}
A set of registers are implemented as general-purpose registers for converter boards.
These are status and control registers implemented utilizing \textit{wbgen2}~\cite{wbgen2}.
Appendix~\ref{app:memmap-conv-regs} presents the converter board registers.
On the status registers side, there is one general status register (SR -- see
Appendix~\ref{app:memmap-sr}) that contains details about the gateware version,
the state of the on-board switches and RTM detection lines, as well as the state
of the communication watchdog timer. Then, there are six pulse counter registers
(CHxPCR -- see Appendix~\ref{app:memmap-chpcr}), one per each channel, which are updated
with the current values of the input pulse counters.
The logic also contains one control register (CR -- see Appendix~\ref{app:memmap-cr}),
which contains two bits for remotely resetting the FPGA logic.
%------------------------------------------------------------------------------
% SUBSEC: MultiBoot
%------------------------------------------------------------------------------
\subsection{MultiBoot control}
\label{sec:periphs-multiboot}
The MultiBoot module offers the remote reprogramming capabilities for the
CONV-TTL-BLO board. It offers a set of registers for controlling writing a bitstream
to the M25P32 flash chip and for issuing the remote reprogramming command.
For information on the module, refer to its documentation. The memory map of the
module is also present in this manual, for quick reference (see
Appendix~\ref{app:memmap-multiboot}).
%============================================================================== %==============================================================================
% SEC: Reset gen % SEC: Reset gen
%============================================================================== %==============================================================================
\section{Reset generator} \section{Reset generator}
\label{sec:reset-gen} \label{sec:reset-gen}
%\begin{table}[h] \centerline
% \caption{Reset generator} {
% \label{tbl:pulse-gen} \begin{tabular}{l l l}
\centerline \hline
{ \textbf{Entity} & \textit{reset\_gen} & \\
\begin{tabular}{l l l} \textbf{Generics} & \textit{g\_reset\_time} & Reset time in \textit{clk\_i} cycles \\
\hline \textbf{Ports} & \textit{clk\_i} & Clock signal \\
\textbf{Entity} & \textit{reset\_gen} & \\ & \textit{rst\_i} & Active-high reset input \\
\textbf{Generics} & \textit{g\_reset\_time} & Reset time in \textit{clk\_i} cycles \\ & \textit{rst\_n\_o} & Active-low reset output \\
\textbf{Ports} & \textit{clk\_i} & Clock signal \\ \textbf{Usage} & Global reset generation & 100~$ms$ reset \\
& \textit{rst\_i} & Active-high reset input \\ \hline
& \textit{rst\_n\_o} & Active-low reset output \\ \end{tabular}
\textbf{Usage} & Global reset generation & 100~$ms$ reset \\ }
\hline
\end{tabular}
}
%\end{table}
\vspace*{11pt} \vspace*{11pt}
...@@ -227,23 +289,19 @@ By default, the reset time is set to 100~$ms$. ...@@ -227,23 +289,19 @@ By default, the reset time is set to 100~$ms$.
\section{RTM detection} \section{RTM detection}
\label{sec:rtm-detect} \label{sec:rtm-detect}
%\begin{table}[h] \centerline
% \caption{RTM detection block} {
% \label{tbl:pulse-gen} \begin{tabular}{l l l}
\centerline \hline
{ \textbf{Entity} & \textit{rtm\_detector} & \\
\begin{tabular}{l l l} \textbf{Ports} & \textit{rtmm\_i(2..0)} & RTM mainboard detection lines \\
\hline & \textit{rtmp\_i(2..0)} & RTM piggyback detection lines \\
\textbf{Entity} & \textit{rtm\_detector} & \\ & \textit{rtmm\_ok\_o} & RTM mainboard present \\
\textbf{Ports} & \textit{rtmm\_i(2..0)} & RTM mainboard detection lines \\ & \textit{rtmp\_ok\_o} & RTM piggyback present \\
& \textit{rtmp\_i(2..0)} & RTM piggyback detection lines \\ \textbf{Usage} & Light ERR status LED & \\
& \textit{rtmm\_ok\_o} & RTM mainboard present \\ \hline
& \textit{rtmp\_ok\_o} & RTM piggyback present \\ \end{tabular}
\textbf{Usage} & Light ERR status LED & \\ }
\hline
\end{tabular}
}
%\end{table}
\vspace*{11pt} \vspace*{11pt}
...@@ -269,30 +327,27 @@ can also be read via their respective fields in the converter board status regis ...@@ -269,30 +327,27 @@ can also be read via their respective fields in the converter board status regis
\section{Bicolor LED controller} \section{Bicolor LED controller}
\label{sec:bicolor-led} \label{sec:bicolor-led}
%\begin{table}[h] \centerline
% \caption{Bicolor LED controller block} {
% \label{tbl:pulse-gen} \begin{tabular}{l l l}
\centerline \hline
{ \textbf{Entity} & \textit{bicolor\_led\_ctrl} & \\
\begin{tabular}{l l l} \textbf{Generics} & \textit{g\_NB\_COLUMN} & Number of columns \\
\hline & \textit{g\_NB\_LINE} & Number of lines \\
\textbf{Entity} & \textit{bicolor\_led\_ctrl} & \\ & \textit{g\_CLK\_FREQ} & Frequency (in Hz) of \textit{clk\_i} signal \\
\textbf{Generics} & \textit{g\_NB\_COLUMN} & Number of columns \\ & \textit{g\_REFRESH\_RATE} & LED refresh rate (in Hz)\\
& \textit{g\_NB\_LINE} & Number of lines \\ \textbf{Ports} & \textit{rst\_n\_i} & Active-low reset input \\
& \textit{g\_CLK\_FREQ} & Frequency (in Hz) of \textit{clk\_i} signal \\ & \textit{clk\_i} & Clock signal input \\
& \textit{g\_REFRESH\_RATE} & LED refresh rate (in Hz)\\ & \textit{led\_intensity\_i(6..0)} & 7-bit LED intensity vector \\
\textbf{Ports} & \textit{rst\_n\_i} & Active-low reset input \\ & \textit{led\_state\_i(..)} & LED state vector, two bits per LED \\
& \textit{clk\_i} & Clock signal input \\ & \textit{column\_o(..)} & LED column vector, one bit per column \\
& \textit{led\_intensity\_i(6..0)} & 7-bit LED intensity vector \\ & \textit{line\_o(..)} & LED line vector, one bit per line \\
& \textit{led\_state\_i(..)} & LED state vector, two bits per LED \\ & \textit{line\_oen\_o(..)} & LED line enable vector, one bit per line\\
& \textit{column\_o(..)} & LED column vector, one bit per column \\ \textbf{Usage} & Light bicolor LEDS & \\
& \textit{line\_o(..)} & LED line vector, one bit per line \\ \hline
& \textit{line\_oen\_o(..)} & LED line enable vector, one bit per line\\ \end{tabular}
\textbf{Usage} & Light bicolor LEDS & \\ }
\hline
\end{tabular}
}
%\end{table}
\vspace*{11pt} \vspace*{11pt}
...@@ -389,27 +444,24 @@ selection signals to these multiplexers are set throughout the logic. ...@@ -389,27 +444,24 @@ selection signals to these multiplexers are set throughout the logic.
\section{Pulse generator} \section{Pulse generator}
\label{sec:pulse-gen} \label{sec:pulse-gen}
%\begin{table}[h] \centerline
% \caption{Pulse generator blocks} {
% \label{tbl:pulse-gen} \begin{tabular}{l l l}
\centerline \hline
{ \textbf{Entity} & \textit{conv\_pulse\_gen} & \\
\begin{tabular}{l l l} \textbf{Generics} & \textit{g\_pwidth} & Width of the output pulse in \textit{clk\_i} cycles \\
\hline & \textit{g\_gf\_len} & Length of glitch filter in \textit{clk\_i} cycles \\
\textbf{Entity} & \textit{conv\_pulse\_gen} & \\ \textbf{Ports} & \textit{clk\_i} & Clock signal \\
\textbf{Generics} & \textit{g\_pwidth} & Width of the output pulse in \textit{clk\_i} cycles \\ & \textit{rst\_n\_i} & Active-low reset signal \\
& \textit{g\_gf\_len} & Length of glitch filter in \textit{clk\_i} cycles \\ & \textit{en\_i} & Pulse generator enable \\
\textbf{Ports} & \textit{clk\_i} & Clock signal \\ & \textit{gf\_en\_n\_i} & Active-low glitch filter enable \\
& \textit{rst\_n\_i} & Active-low reset signal \\ & \textit{trig\_i} & Pulse trigger \\
& \textit{en\_i} & Pulse generator enable \\ & \textit{pulse\_o} & Pulse output \\
& \textit{gf\_en\_n\_i} & Active-low glitch filter enable \\ \textbf{Usage} & Output pulse & 1.2~$\mu$s pulses with min. period of 6~$\mu$s \\
& \textit{trig\_i} & Pulse trigger \\ & & and one-cycle wide glitch filter \\
& \textit{pulse\_o} & Pulse output \\ \hline
\textbf{Usage} & Output pulse & 1.2~$\mu$s pulses with min. period of 6~$\mu$s\\ \end{tabular}
\hline }
\end{tabular}
}
%\end{table}
\vspace*{11pt} \vspace*{11pt}
...@@ -486,10 +538,9 @@ in the code. These constants assure the pulse at the output is kept high for a n ...@@ -486,10 +538,9 @@ in the code. These constants assure the pulse at the output is kept high for a n
Figure~\ref{fig:pulse-brd} shows the pulse replication mechanism on the Figure~\ref{fig:pulse-brd} shows the pulse replication mechanism on the
CONV-TTL-BLO. Here, the \textit{PG} block is the \textit{conv\_pulse\_gen} block CONV-TTL-BLO. Here, the \textit{PG} block is the \textit{conv\_pulse\_gen} block
with the necessary settings. Since the \textit{conv\_pulse\_gen} block expects with the necessary settings. This block can either be triggered via a pulse arriving
a rising edge at its \textit{trig\_i} input in order to generate a pulse at on the TTL or blocking channel, or by a manual trigger pulse arriving from the
the output, logic external to the block caters for the different types of signals \textit{conv\_man\_trig} component (see Section~\ref{sec:man-trig}).
that arrive on CONV-TTL-BLO inputs.
\begin{figure}[h] \begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/pulse-rep}} \centerline{\includegraphics[width=\textwidth]{fig/pulse-rep}}
...@@ -497,11 +548,16 @@ that arrive on CONV-TTL-BLO inputs. ...@@ -497,11 +548,16 @@ that arrive on CONV-TTL-BLO inputs.
\label{fig:pulse-brd} \label{fig:pulse-brd}
\end{figure} \end{figure}
\pagebreak
Since the \textit{conv\_pulse\_gen} block expects a rising edge at its \textit{trig\_i}
input in order to generate a pulse at the output, logic external to the block
caters for the different types of signals that arrive on CONV-TTL-BLO inputs.
Most of this external logic is on the TTL pulse side, where both TTL and TTL-BAR Most of this external logic is on the TTL pulse side, where both TTL and TTL-BAR
pulses may arrive. As described in Section 4.3 of \cite{ctb-ug}, if a wire is not plugged in pulses may arrive. As described in Section 4.3 of the CONV-TTL-BLO User Guide\cite{ctb-ug},
when TTL-BAR pulses are input, a continuous logic high level on the line would inhibit if a wire is not plugged in when TTL-BAR pulses are input, a continuous logic high level
pulses arriving on the blocking side from triggering a pulse generation. This is why on the line would inhibit pulses arriving on the blocking side from triggering a pulse
the \textit{no signal detect} block has been implemented. generation. This is why the \textit{no signal detect} block has been implemented.
The block's implementation is shown in Figure~\ref{fig:no-sig-detect}. It is implemented as The block's implementation is shown in Figure~\ref{fig:no-sig-detect}. It is implemented as
a counter which keeps the \textit{en\_o} signal high as long as it does not reach its maximum value. a counter which keeps the \textit{en\_o} signal high as long as it does not reach its maximum value.
...@@ -546,72 +602,84 @@ the value received via I$^2$C. ...@@ -546,72 +602,84 @@ the value received via I$^2$C.
\end{figure} \end{figure}
%============================================================================== %==============================================================================
% SEC: Mem-mapped periphs % SEC: Manual trigger
%============================================================================== %==============================================================================
\section{Memory-mapped peripherals} \section{Manual pulse trigger}
\label{sec:periphs} \label{sec:man-trig}
This section details the various peripherals mapped on the internal
Wishbone bus. Access to these peripherals is made through the two serial lines
on the VME P1 connector (SERCLK, SERDAT). A protocol based on I$^2$C is used to
access these peripherals. The protocol, as well as the bridge component
translating I$^2$C accesses into Wishbone accesses, are defined in the bridge
component's documentation.
The complete memory map of the gateware can be found in Appendix~{app:memmap}.
%------------------------------------------------------------------------------
% SUBSEC: Statregs
%------------------------------------------------------------------------------
\subsection{I$^2$C to Wishbone bridge}
\label{sec:i2c-bridge}
The \textit{i2c\_bridge} module implements a bridge to translate I$^2$C accesses
on the VME P1 connector into Wishbone accesses on the FPGA. The module provides
one I$^2$C slave interface for connecting to an ELMA SysMon and one Wishbone
master interface.
Details about the module's implementation can be found in its documentation.
%------------------------------------------------------------------------------
% SUBSEC: CSR
%------------------------------------------------------------------------------
\subsection{Converter board registers}
\label{sec:periphs-conv-regs}
A set of registers are implemented as general-purpose registers for converter boards.
These are status and control registers implemented utilizing \textit{wbgen2}~\cite{wbgen2}.
Appendix~\ref{app:memmap-conv-regs} presents the converter board registers.
On the status registers side, there is one general status register (SR -- see \centerline
Appendix~\ref{app:memmap-sr}) that contains details about the gateware version, {
the state of the on-board switches and RTM detection lines, as well as the state \begin{tabular}{l l l}
of the communication watchdog timer. Then, there are six pulse counter registers \hline
(CHxPCR -- see Appendix~\ref{app:memmap-chpcr}), one per each channel, which are updated \textbf{Entity} & \textit{conv\_man\_trig} & \\
with the current values of the input pulse counters. \textbf{Generics} & \textit{g\_nr\_chan} & Pulse repeater number of channels \\
& \textit{g\_gf\_len} & Pulse generator glitch filter length \\
The logic also contains one control register (CR -- see Appendix~\ref{app:memmap-cr}), \textbf{Ports} & \textit{clk\_i} & Clock signal \\
which contains two bits for remotely resetting the FPGA logic. & \textit{rst\_n\_i} & Active-low reset signal \\
& \textit{reg\_ld\_i} & MPT in the CR written \\
& \textit{reg\_i} & Value of MPT field in the CR \\
& \textit{trig\_o} & Trigger output \\
\textbf{Usage} & Trigger pulse generator & Two clock-cycle pulse output \\
\hline
\end{tabular}
}
\vspace*{11pt}
%------------------------------------------------------------------------------ The manual pulse triggering mechanism is achieved via the \textit{conv\_man\_trig}
% SUBSEC: MultiBoot module. This module generates a pulse that is input to the \textit{conv\_pulse\_gen}
%------------------------------------------------------------------------------ module and that triggers a 1.2~$\mu$s pulse on the TTL and blocking outputs.
\subsection{MultiBoot control}
\label{sec:periphs-multiboot} Since manual pulse generation is a delicate feature which is only used when a debug
pulse is generated on a channel, a "password" is needed in order to manually trigger
a pulse. This "password" is obtained from the MPT field in the control register
(CR -- see Appendix~\ref{app:memmap-cr}), where a magic sequence of
numbers should be written. Once this magic sequence has been input, the next write to
the register should be the channel number. If a valid channel number is written,
a pulse is generated on this channel.
The \textit{conv\_man\_trig} takes the value of the MPT field in the control register
as an input and via the state-machine shown in Figure~\ref{fig:man-trig-fsm}, it checks
that the values written to the MPT field correspond to the magic sequence (Table~\ref{tbl:man-trig-magic}).
The FSM advances on writes to the MPT field of the CR. Once the magic sequence has been received,
the next write to the MPT field sets the \textit{trig\_o} output, which is input to the \textit{trig\_i}
input of \textit{conv\_pulse\_gen} (see Section~\ref{sec:pulse-gen}) after it is ORed together with the
TTL and blocking inputs as shown in Figure~\ref{fig:pulse-brd}. Should an invalid channel number be
input, no error is reported and no pulse is generated.
\begin{figure}
\centerline{\includegraphics[width=.47\textwidth]{fig/man-trig-fsm}}
\caption{FSM of the \textit{conv\_man\_trig} component}
\label{fig:man-trig-fsm}
\end{figure}
The MultiBoot module offers the remote reprogramming capabilities for the \begin{table}[h]
CONV-TTL-BLO board. It offers a set of registers for controlling writing a bitstream \caption{Magic sequence to initiate manual pulse triggering}
to the M25P32 flash chip and for issuing the remote reprogramming command. \label{tbl:man-trig-magic}
\centerline
{
\begin{tabular}{c c c c}
\hline
\textbf{Byte 0} & \textbf{Byte 1} & \textbf{Byte 2} & \textbf{Byte 3} \\
\hline
0xde & 0xad & 0xbe & 0xef \\
\hline
\end{tabular}
}
\end{table}
For information on the module, refer to its documentation. The memory map of the To generate a long enough pulse to be detected by the \textit{conv\_pulse\_gen} component
module is also present in this manual, for quick reference (see when its glitch filter is enabled, the \textit{conv\_man\_trig} should have knowledge
Appendix~\ref{app:memmap-multiboot}). of the length of the pulse generator's glitch filter, which can be supplied via the
\textit{g\_gf\_len} generic. With the value of this generic, the \textit{conv\_man\_trig}
component extends the \textit{trig\_o} pulse to the necessary number of cycles for the
\textit{conv\_pulse\_gen} to detect a pulse. This extension is done in the \textit{GEN}
state (Figure~\ref{fig:man-trig-fsm}).
%====================================================================================== %======================================================================================
% SEC: Folder structure % SEC: Folder structure
%====================================================================================== %======================================================================================
\section{Folder Structure} \section{Folder structure}
\label{sec:fold-struct} \label{sec:fold-struct}
Gateware files are organized on a per type-of-project basis. There are two different types of Gateware files are organized on a per type-of-project basis. There are two different types of
...@@ -665,6 +733,8 @@ The folder structure for the project is presented below. ...@@ -665,6 +733,8 @@ The folder structure for the project is presented below.
\begin{itemize} \begin{itemize}
\item Release/ \item Release/
\begin{itemize} \begin{itemize}
\item conv\_man\_trig.vhd
\item conv\_pulse\_gen.vhd
\item conv\_regs.vhd \item conv\_regs.vhd
\item conv\_regs.wb \item conv\_regs.wb
\end{itemize} \end{itemize}
...@@ -692,15 +762,15 @@ The folder structure for the project is presented below. ...@@ -692,15 +762,15 @@ The folder structure for the project is presented below.
\item conv\_ttl\_blo.vhd \item conv\_ttl\_blo.vhd
\end{itemize} \end{itemize}
\item pulsetest/ \item pulsetest/
% \begin{itemize} \begin{itemize}
% \item pulsetest.ucf \item pulsetest.ucf
% \item pulsetest.vhd \item pulsetest.vhd
% \end{itemize} \end{itemize}
\item regtest/ \item regtest/
% \begin{itemize} \begin{itemize}
% \item pulsetest.ucf \item regtest.ucf
% \item pulsetest.vhd \item regtest.vhd
% \end{itemize} \end{itemize}
\end{itemize} \end{itemize}
\end{itemize} \end{itemize}
\end{itemize} \end{itemize}
...@@ -747,7 +817,7 @@ the design and as such can be composed of folders named after the component to b ...@@ -747,7 +817,7 @@ the design and as such can be composed of folders named after the component to b
%====================================================================================== %======================================================================================
% SEC: Getting Around the Code % SEC: Getting Around the Code
%====================================================================================== %======================================================================================
\section{Getting Around the Code} \section{Getting around the code}
\label{sec:get-around} \label{sec:get-around}
Ports and signals usually follow the coding guideline at~\cite{coding-guidelines}. Most of the Ports and signals usually follow the coding guideline at~\cite{coding-guidelines}. Most of the
...@@ -952,7 +1022,8 @@ $reg. index = \frac{addr}{4} + 1$ ...@@ -952,7 +1022,8 @@ $reg. index = \frac{addr}{4} + 1$
\textbf{Bits} & \textbf{Field} & \textbf{Access} & \textbf{Default} & \textbf{Description} \\ \textbf{Bits} & \textbf{Field} & \textbf{Access} & \textbf{Default} & \textbf{Description} \\
0 & RST\_UNLOCK & R/W & 0 & Reset bit unlock \\ 0 & RST\_UNLOCK & R/W & 0 & Reset bit unlock \\
1 & RST & R/W & 0 & Reset bit \\ 1 & RST & R/W & 0 & Reset bit \\
31..2 & \textit{Reserved} & -- & X & \\ 9..2 & MPT & W/O & 0 & Manual Pulse Trigger control \\
31..10 & \textit{Reserved} & -- & X & \\
\end{tabular} \end{tabular}
\noindent \noindent
...@@ -970,6 +1041,13 @@ $reg. index = \frac{addr}{4} + 1$ ...@@ -970,6 +1041,13 @@ $reg. index = \frac{addr}{4} + 1$
previous cycle. A write to this bit while RST\_UNLOCK = '0' has no effect. \newline previous cycle. A write to this bit while RST\_UNLOCK = '0' has no effect. \newline
Writing this bit to 1 with RST\_UNLOCK = '1' will issue a system reset and Writing this bit to 1 with RST\_UNLOCK = '1' will issue a system reset and
the communication to the board will be lost for approx. 100~ms \\ the communication to the board will be lost for approx. 100~ms \\
MPT & Control bits for manual pulse trigger sequence \newline
To trigger a pulse, write this sequence to the field: \newline
\textbf{0xde} -- Passbyte 1 \newline
\textbf{0xad} -- Passbyte 2 \newline
\textbf{0xbe} -- Passbyte 3 \newline
\textbf{0xef} -- Passbyte 4 \newline
Channel number in range 1..6 \\
\textit{Reserved} & Write as '0'; read undefined \\ \textit{Reserved} & Write as '0'; read undefined \\
\end{tabular} \end{tabular}
} }
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment