Commit 0dcd74a0 authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

working on hdlguide

parent 0f3ea3fc
This source diff could not be displayed because it is too large. You can view the blob instead.
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="625.29675"
height="399.46332"
id="svg2"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="hdl-bd.pdf">
<defs
id="defs4">
<marker
inkscape:stockid="TriangleInM"
orient="auto"
refY="0"
refX="0"
id="TriangleInM"
style="overflow:visible">
<path
id="path3975"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3984"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleInM"
orient="auto"
refY="0"
refX="0"
id="marker3060"
style="overflow:visible">
<path
id="path3062"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3064"
style="overflow:visible">
<path
id="path3066"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3068"
style="overflow:visible">
<path
id="path3070"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleInM"
orient="auto"
refY="0"
refX="0"
id="TriangleInM-9"
style="overflow:visible">
<path
id="path3975-6"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM-1"
style="overflow:visible">
<path
id="path3984-3"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleInM"
orient="auto"
refY="0"
refX="0"
id="marker3106"
style="overflow:visible">
<path
id="path3108"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(-0.4,-0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3110"
style="overflow:visible">
<path
id="path3112"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker3114"
style="overflow:visible">
<path
id="path3116"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="5.6"
inkscape:cx="323.48766"
inkscape:cy="181.40567"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
showguides="true"
inkscape:guide-bbox="true"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid2985"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="0.5mm"
spacingy="0.5mm"
originx="-13.230467mm"
originy="-189.71778mm" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-46.879608,19.329491)">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 216.14173,175.39368 -21.25984,0 0,31.88977 -35.43307,0"
id="path12620"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
inkscape:connector-curvature="0"
id="path12622"
d="m 216.14173,168.30707 -21.25984,0 0,-31.88976 -35.43307,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cccc" />
<path
style="fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 212.59843,162.99211 c 5.31496,7.08661 5.31496,10.62992 0,17.71654 12.04146,0 21.25984,0 26.5748,-8.85827 -5.31496,-8.85827 -14.53334,-8.85827 -26.5748,-8.85827 z"
id="path3936"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 327.75591,171.85038 -88.58268,0"
id="path12624"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
transform="translate(217.77267,188.56688)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path5193"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<rect
y="242.71652"
x="356.10239"
height="17.716534"
width="53.149597"
id="rect13424"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
sodipodi:linespacing="125%"
id="text13450"
y="226.77165"
x="356.10239"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-weight:bold;text-align:start;text-anchor:start"
id="tspan13452"
y="226.77165"
x="356.10239"
sodipodi:role="line">6x pulse</tspan><tspan
style="font-weight:bold;text-align:start;text-anchor:start"
y="239.27165"
x="356.10239"
sodipodi:role="line"
id="tspan13481">generator</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="356.10239"
y="109.8425"
id="text13454"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="356.10239"
y="109.8425"
id="tspan13456"
style="font-weight:bold;text-align:start;text-anchor:start">6x pulse</tspan><tspan
sodipodi:role="line"
x="356.10239"
y="122.3425"
style="font-weight:bold;text-align:start;text-anchor:start"
id="tspan13485">generator</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 409.25197,136.41731 139.96063,0"
id="path13508"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 504.92126,136.41731 0,70.86614 44.29134,0"
id="path13510"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path13512"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(394.93802,153.13381)" />
<path
inkscape:connector-curvature="0"
id="path13514"
d="m 409.25197,251.57479 139.96063,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cc" />
<rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect13567"
width="53.149597"
height="17.716534"
x="357.87402"
y="244.48817" />
<rect
y="246.25983"
x="359.64569"
height="17.716534"
width="53.149597"
id="rect13569"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect13577"
width="53.149597"
height="17.716534"
x="356.10239"
y="127.55904" />
<rect
y="129.33069"
x="357.87402"
height="17.716534"
width="53.149597"
id="rect13579"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect13581"
width="53.149597"
height="17.716534"
x="359.64569"
y="131.10234" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="442.91333"
y="53.149582"
id="text13585"
sodipodi:linespacing="125%"><tspan
id="tspan13591"
sodipodi:role="line"
x="442.91333"
y="53.149582"
style="font-weight:bold;text-align:center;text-anchor:middle">reset</tspan><tspan
sodipodi:role="line"
x="442.91333"
y="65.649582"
style="font-weight:bold;text-align:center;text-anchor:middle"
id="tspan13598">generator</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="243.93141"
y="322.44095"
id="text2989"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan2991"
x="243.93141"
y="322.44095"
style="font-size:9px;font-weight:bold">I<tspan
style="font-size:65.00091553%;baseline-shift:super"
id="tspan13661">2</tspan>C bridge</tspan></text>
<g
transform="translate(8.8582768,212.59843)"
id="g3800">
<rect
ry="0"
rx="0"
y="113.3858"
x="212.59842"
height="35.433071"
width="88.58268"
id="rect2987"
style="fill:#d9d9d9;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
sodipodi:linespacing="125%"
id="text2993"
y="129.19368"
x="216.14172"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="129.19368"
x="216.14172"
id="tspan2995"
sodipodi:role="line">I<tspan
id="tspan2999"
style="font-size:65.00091553%;baseline-shift:super">2</tspan>C</tspan><tspan
id="tspan2997"
y="141.69368"
x="216.14172"
sodipodi:role="line">slave</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="298.52597"
y="128.42656"
id="text3001"
sodipodi:linespacing="125%"><tspan
style="text-align:end;text-anchor:end"
sodipodi:role="line"
x="301.70956"
y="128.42656"
id="tspan3007">WB </tspan><tspan
style="text-align:end;text-anchor:end"
id="tspan3012"
sodipodi:role="line"
x="298.52597"
y="140.92656">master</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:none"
d="m 159.44882,334.8425 62.00787,-0.0464 0,0 0,0"
id="path3831"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
inkscape:connector-curvature="0"
id="path3833"
d="m 159.44882,352.55904 62.00787,-10e-6 0,0 0,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none;marker-end:none"
sodipodi:nodetypes="cccc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="125.23703"
y="340.15747"
id="text4667"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4669"
x="125.23703"
y="340.15747"
style="font-size:12px;font-weight:bold">SCL</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4671"
y="354.33069"
x="122.17844"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="354.33069"
x="122.17844"
id="tspan4673"
sodipodi:role="line"
style="font-size:12px;font-weight:bold">SDA</tspan></text>
<rect
y="69.094467"
x="221.4567"
height="17.716526"
width="88.582664"
id="rect13663"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
sodipodi:linespacing="125%"
id="text13665"
y="65.551163"
x="265.74802"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan13669"
style="font-weight:bold;text-align:center;text-anchor:middle"
y="65.551163"
x="265.74802"
sodipodi:role="line">RTM detector</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 487.20472,77.952723 62.00788,0"
id="path13673"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect13675"
width="88.582634"
height="17.716564"
x="442.91339"
y="324.07559" />
<text
sodipodi:linespacing="125%"
id="text13677"
y="320.53232"
x="446.21912"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:9px;font-weight:bold"
y="320.53232"
x="446.21912"
id="tspan13679"
sodipodi:role="line">Status registers</tspan></text>
<path
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path13683"
d="m 159.4488,77.999123 58.46458,-0.0464 0,0 3.5433,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:none" />
<rect
y="69.094437"
x="398.62204"
height="17.716564"
width="88.582634"
id="rect13685"
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<text
sodipodi:linespacing="125%"
id="text5318"
y="302.95276"
x="377.36221"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:9px;font-weight:bold;text-align:center;text-anchor:middle"
y="302.95276"
x="377.36221"
id="tspan5320"
sodipodi:role="line">Wishbone</tspan><tspan
style="font-size:9px;font-weight:bold;text-align:center;text-anchor:middle"
y="314.20276"
x="377.36221"
sodipodi:role="line"
id="tspan14794">crossbar</tspan></text>
<rect
ry="0"
rx="0"
y="316.62598"
x="345.47244"
height="50.1063"
width="62.007874"
id="rect4677"
style="fill:#d9d9d9;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
<g
id="g5332"
transform="translate(-40.666191,77.702441)">
<path
inkscape:connector-curvature="0"
id="path5322"
d="m 395.28817,246.26045 12.19215,0 18.90999,35.43244 12.60666,0"
style="fill:none;stroke:#000000;stroke-width:3;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:3;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 395.28817,281.69289 12.19215,0 18.90999,-35.43244 12.60666,0"
id="path5324"
inkscape:connector-curvature="0" />
</g>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path14384"
d="m 310.03937,343.70077 35.43307,0"
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:none;marker-end:none" />
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:none;marker-end:none"
d="m 407.48031,332.93384 35.43307,0"
id="path14786"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="150.59055"
y="74.949554"
id="text14796"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan14798"
x="150.59055"
y="74.949554"
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end">RTM detection</tspan><tspan
sodipodi:role="line"
x="150.59055"
y="89.949554"
id="tspan14800"
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end">lines</tspan></text>
<text
sodipodi:linespacing="125%"
id="text14802"
y="139.96062"
x="150.59055"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end"
id="tspan14806"
y="139.96062"
x="150.59055"
sodipodi:role="line">TTL inputs</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="150.59055"
y="210.82675"
id="text14810"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="150.59055"
y="210.82675"
id="tspan14812"
style="font-size:12px;font-weight:bold;text-align:end;text-anchor:end">Blocking inputs</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="558.07086"
y="139.96062"
id="text14814"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="558.07086"
y="139.96062"
id="tspan14816"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start">TTL outputs</tspan></text>
<text
sodipodi:linespacing="125%"
id="text14818"
y="210.82675"
x="558.07086"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start"
id="tspan14820"
y="210.82675"
x="558.07086"
sodipodi:role="line">Blocking outputs</tspan></text>
<text
sodipodi:linespacing="125%"
id="text14822"
y="73.7164"
x="558.07086"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start"
id="tspan14824"
y="73.7164"
x="558.07086"
sodipodi:role="line">Blocking power</tspan><tspan
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start"
y="88.7164"
x="558.07086"
sodipodi:role="line"
id="tspan14826">supply reset</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="558.07086"
y="251.57478"
id="text14828"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="558.07086"
y="251.57478"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start"
id="tspan14832">LEDs (front and</tspan><tspan
sodipodi:role="line"
x="558.07086"
y="266.57477"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start"
id="tspan14836">rear panel)</tspan></text>
<text
sodipodi:linespacing="125%"
id="text14838"
y="-3.3641423e-05"
x="442.91333"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
id="tspan14842"
style="font-weight:bold;text-align:center;text-anchor:middle"
y="-3.3641423e-05"
x="442.91333"
sodipodi:role="line">Bicolor LED</tspan><tspan
style="font-weight:bold;text-align:center;text-anchor:middle"
y="12.499967"
x="442.91333"
sodipodi:role="line"
id="tspan14856">controller</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path14844"
d="m 487.20472,24.803106 62.00788,0"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<rect
style="opacity:0.98999999;fill:#cccccc;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect14846"
width="88.582634"
height="17.716564"
x="398.62204"
y="15.944821" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="558.07086"
y="20.566776"
id="text14848"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="558.07086"
y="20.566776"
id="tspan14850"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start">Blocking power</tspan><tspan
id="tspan14852"
sodipodi:role="line"
x="558.07086"
y="35.566776"
style="font-size:12px;font-weight:bold;text-align:start;text-anchor:start">supply reset</tspan></text>
<rect
style="opacity:0.5;fill:none;stroke:#323333;stroke-width:2;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:6, 6;stroke-dashoffset:0"
id="rect4649"
width="368.89496"
height="397.46332"
x="171.45937"
y="-18.329491" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.5;fill:#333333;fill-opacity:1;stroke:none;font-family:Sans"
x="177.16536"
y="-1.7716757"
id="text4651"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4653"
x="177.16536"
y="-1.7716757"
style="font-size:14px;font-weight:bold;fill:#333333;fill-opacity:1">FPGA</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 309.22275,155.74679 -28.34645,0 0,35.43307"
id="path14903"
inkscape:connector-curvature="0"
transform="translate(46.879608,-19.329491)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 309.22275,270.90427 -28.34645,0 0,-79.72441"
id="path14905"
inkscape:connector-curvature="0"
transform="translate(46.879608,-19.329491)" />
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="298.63779"
height="112.11417"
id="svg16149"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="no-sig-detect.pdf">
<defs
id="defs16151" />
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8"
inkscape:cx="145.31065"
inkscape:cy="-16.742501"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid16157"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="0.5mm"
spacingy="0.5mm"
originx="-22.358889mm"
originy="-244.92945mm" />
</sodipodi:namedview>
<metadata
id="metadata16154">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-79.224409,-72.38776)">
<rect
style="opacity:0.98999999;fill:#000000;fill-opacity:0.1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect16159"
width="62.007866"
height="70.866119"
x="176.98679"
y="113.3858" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 177.16535,166.53541 7.92662,5.2844 -7.92662,5.34552"
id="path4425"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 177.16535,131.10234 -44.29133,0 0,-26.5748 -35.433075,0"
id="path16881"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 132.87402,104.52754 141.73228,0"
id="path16883"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="178.93701"
y="134.64565"
id="text16885"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan16887"
x="178.93701"
y="134.64565">cnt</tspan></text>
<text
sodipodi:linespacing="125%"
id="text16889"
y="134.64565"
x="214.37007"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="134.64565"
x="214.37007"
id="tspan16891"
sodipodi:role="line">en_o</tspan></text>
<path
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 274.6063,99.212581 c 0,8.858259 0,8.858259 0,17.716529 12.04146,0 17.71654,-3.5433 17.71654,-8.85827 0,-5.31496 -5.67508,-8.858259 -17.71654,-8.858259 z"
id="path3936"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
inkscape:connector-curvature="0"
id="path5329"
d="m 327.75591,72.63778 0,46.063 14.17323,-7.08662 0,-31.88976 -14.17323,-7.08662"
style="fill:none;stroke:#b2b2b2;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
sodipodi:linespacing="125%"
id="text5331"
y="111.61415"
x="331.29922"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px;fill:#b2b2b2;fill-opacity:1"
y="111.61415"
x="331.29922"
id="tspan5333"
sodipodi:role="line">1</tspan></text>
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
x="331.29922"
y="86.81102"
id="text5335"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5337"
x="331.29922"
y="86.81102"
style="font-size:10px;fill:#b2b2b2;fill-opacity:1">0</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 177.16536,171.85037 -26.57481,0"
id="path4566"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
transform="translate(22.890778,121.24404)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path4618"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text4622"
y="175.39368"
x="116.92913"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="175.39368"
x="116.92913"
id="tspan4624"
sodipodi:role="line">clk125</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 239.17323,131.10234 17.71653,0 0,-19.48819 17.71654,0"
id="path16971"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 292.32283,108.07084 35.43308,0"
id="path16973"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#b2b2b2;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 186.02362,76.18106 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
id="path3785"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:none;stroke:#b2b2b2;stroke-width:2.00000024;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3787"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
transform="matrix(0.24999996,0,0,0.24999996,165.9614,54.035404)" />
<path
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 207.28346,83.267694 120.47245,0"
id="path4053"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 186.02362,83.267694 -106.299211,0"
id="path17002"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
inkscape:connector-curvature="0"
id="path17016"
d="m 377.3622,95.669269 -35.43307,0"
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<path
sodipodi:type="arc"
style="fill:#b2b2b2;fill-opacity:1;fill-rule:nonzero;stroke:#b2b2b2;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path17020"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(-12.542293,99.984196)" />
<path
style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 97.440945,83.267694 0,21.259846"
id="path17022"
inkscape:connector-curvature="0" />
</g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="534.82196"
height="270.0524"
id="svg3599"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="pulse-gen.svg">
<defs
id="defs3601" />
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.979899"
inkscape:cx="260.19536"
inkscape:cy="123.16814"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid3607"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
units="mm"
spacingx="0.5mm"
spacingy="0.5mm"
originx="-40.118535mm"
originy="-204.42944mm" />
</sodipodi:namedview>
<metadata
id="metadata3604">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-142.15228,-57.953476)">
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 230.31496,134.64565 -53.14961,0"
id="path4381"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="149.89642"
y="138.18895"
id="text4395"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4397"
x="149.89642"
y="138.18895">trig_i</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 212.59843,134.64565 0,85.03937 106.29921,0"
id="path4399"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 372.04724,219.68502 35.43307,0"
id="path4401"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path4403"
d="m 460.62992,219.68502 26.5748,-3e-5"
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cc" />
<g
id="g4413">
<rect
style="opacity:0.98999999;fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4383"
width="53.149605"
height="88.58268"
x="318.89764"
y="201.96848" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 318.89765,269.29132 10.62992,7.08661 -10.62992,7.08662"
id="path4385"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="322.44095"
y="223.22832"
id="text4405"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4407"
x="322.44095"
y="223.22832">Q</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4409"
y="223.22832"
x="361.41733"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="223.22832"
x="361.41733"
id="tspan4411"
sodipodi:role="line">D</tspan></text>
</g>
<rect
style="opacity:0.98999999;fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4423"
width="53.149605"
height="88.58268"
x="230.31496"
y="60.236187" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 230.31496,127.55903 10.62992,7.08661 -10.62992,7.08662"
id="path4425"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="233.85826"
y="81.496025"
id="text4427"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4429"
x="233.85826"
y="81.496025">Q</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4431"
y="81.49604"
x="272.83466"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="81.49604"
x="272.83466"
id="tspan4433"
sodipodi:role="line">D</tspan></text>
<g
transform="translate(88.582672,3.9048082e-6)"
id="g4435">
<rect
style="opacity:0.98999999;fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4437"
width="53.149605"
height="88.58268"
x="318.89764"
y="201.96848" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 318.89765,269.29132 10.62992,7.08661 -10.62992,7.08662"
id="path4439"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="322.44095"
y="223.22832"
id="text4441"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4443"
x="322.44095"
y="223.22832">Q</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4445"
y="223.22832"
x="361.41733"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="223.22832"
x="361.41733"
id="tspan4447"
sodipodi:role="line">D</tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 230.31496,77.952734 -17.71653,0 -35.43308,0"
id="path4463"
inkscape:connector-curvature="0" />
<text
sodipodi:linespacing="125%"
id="text4465"
y="81.49604"
x="162.99213"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="81.49604"
x="162.99213"
id="tspan4467"
sodipodi:role="line">'1'</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 283.46457,77.952734 310.03936,-2.9e-5"
id="path4469"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<g
transform="translate(310.03936,-180.70866)"
id="g5327">
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 283.46457,248.03147 0,46.063 14.17323,-7.08662 0,-31.88976 -14.17323,-7.08662"
id="path5329"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="287.00787"
y="287.00784"
id="text5331"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan5333"
x="287.00787"
y="287.00784"
style="font-size:10px">1</tspan></text>
<text
sodipodi:linespacing="125%"
id="text5335"
y="262.20471"
x="287.00787"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:10px"
y="262.20471"
x="287.00787"
id="tspan5337"
sodipodi:role="line">0</tspan></text>
</g>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="246.99962"
y="146.81888"
id="text4523"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4525"
x="246.99962"
y="146.81888">CLR</tspan></text>
<rect
style="opacity:0.98999999;fill:#000000;fill-opacity:0.1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4527"
width="79.724419"
height="115.15749"
x="487.20471"
y="175.39365" />
<text
sodipodi:linespacing="125%"
id="text4529"
y="223.2283"
x="565.15747"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="223.2283"
x="565.15747"
id="tspan4531"
sodipodi:role="line"
style="text-align:end;text-anchor:end">OGF1</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="565.15747"
y="187.79521"
id="text4533"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4535"
x="565.15747"
y="187.79521"
style="text-align:end;text-anchor:end">OGF0</tspan></text>
<path
transform="translate(102.61519,151.36215)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path5193"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
inkscape:connector-curvature="0"
id="path4564"
d="m 487.20467,269.29129 10.62992,7.08661 -10.62992,7.08662"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 318.89764,276.37793 -141.73229,0"
id="path4566"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 310.03937,276.37793 0,49.6063 168.30708,0 0,-49.6063 8.85827,0"
id="path4568"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 398.62205,325.98423 0,-49.6063 8.85826,0"
id="path4608"
inkscape:connector-curvature="0" />
<path
transform="translate(288.63881,342.70073)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path4614"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
transform="translate(200.05613,293.09443)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path4618"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<text
sodipodi:linespacing="125%"
id="text4622"
y="279.92123"
x="141.60052"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="279.92123"
x="141.60052"
id="tspan4624"
sodipodi:role="line">clk125</tspan></text>
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="488.97638"
y="223.2283"
id="text4626"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan4628"
x="488.97638"
y="223.2283">SGF0</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 607.67716,90.354309 30.11811,0"
id="path4720"
inkscape:connector-curvature="0" />
<text
sodipodi:linespacing="125%"
id="text4722"
y="93.897614"
x="639.56702"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="93.897614"
x="639.56702"
id="tspan4724"
sodipodi:role="line">pulse_o</tspan></text>
<text
sodipodi:linespacing="125%"
id="text15558"
y="171.85033"
x="496.11163"
style="font-size:10.340312px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="171.85033"
x="496.11163"
id="tspan15560"
sodipodi:role="line">counter fsm</tspan></text>
<text
sodipodi:linespacing="125%"
id="text16076"
y="187.79521"
x="488.97638"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
y="187.79521"
x="488.97638"
id="tspan16078"
sodipodi:role="line">SGF1</tspan></text>
<rect
style="opacity:0.98999999;fill:#000000;fill-opacity:0.1;fill-rule:nonzero;stroke:#323333;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect16082"
width="62.007778"
height="17.716543"
x="363.18896"
y="175.39365" />
<text
xml:space="preserve"
style="font-size:10.340312px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="365.92108"
y="171.85033"
id="text16084"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan16086"
x="365.92108"
y="171.85033">glitch filter</tspan></text>
<path
sodipodi:type="arc"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path16088"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="translate(200.05613,236.40149)" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 165.98368,159.6988 0,-35.43307 53.1496,0"
id="path16090"
inkscape:connector-curvature="0"
transform="translate(144.05569,59.986187)"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 425.19685,184.25192 62.00787,0"
id="path16092"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 422.87344,124.26573 8.85827,0 0,-26.574802 -318.89764,0 0,-8.858268"
id="path16094"
inkscape:connector-curvature="0"
transform="translate(144.05569,59.986187)"
sodipodi:nodetypes="ccccc" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 566.92912,219.68499 17.71654,0 0,-115.15748 8.85827,0"
id="path16096"
inkscape:connector-curvature="0" />
<path
style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 456.53485,10.879904 0,-8.8582674 -31.88976,0"
id="path16100"
inkscape:connector-curvature="0"
transform="translate(144.05569,59.986187)" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="564.45178"
y="65.551132"
id="text16102"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan16104"
x="564.45178"
y="65.551132"
style="text-align:end;text-anchor:end">glitch_filt_en</tspan></text>
</g>
</svg>
......@@ -30,7 +30,6 @@
@misc{ctb-ug,
author = "Theodor-Adrian Stana",
title = {{CONV-TTL-BLO User Guide}},
day = 25,
month = 06,
year = 2013,
howpublished = {\url{http://www.ohwr.org/documents/263}}
......
......@@ -59,6 +59,14 @@
\section*{List of Abbreviations}
\begin{tabular}{l l}
DAC & Digital-to-Analog Converter \\
FPGA & Field-Programmable Gate Array \\
FSM & Finite-State Machine \\
IC & Integrated Circuit \\
I$^2$C & Inter-Intergrated Circuit (bus) \\
PLL & Phase-Locked Loop \\
SPI & Serial Peripheral Interface \\
VCXO & Voltage-controlled oscillator \\
\end{tabular}
\pagebreak
......@@ -77,10 +85,198 @@ the CONV-TTL-BLO capabilities:
\begin{itemize}
\item pulse detection (on pulse rising edge)
\item fixed-width pulse generation
\item communication via I$^2$C and the ELMA protocol \cite{sysmon-i2c}
\item status retrieval via I$^2$C and the ELMA protocol
\end{itemize}
\subsection{Additional documentation}
Figure~\ref{fig:hdl-bd} shows a simplified block diagram of the HDL firmware. Each of the
blocks in the figure is presented in following sections.
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/hdl-bd}}
\caption{Block diagram of FPGA firmware}
\label{fig:hdl-bd}
\end{figure}
%------------------------------------------------------------------------------
% SUBSEC: Additional doc
%------------------------------------------------------------------------------
\subsection*{Additional documentation}
\textcolor{red}{\textbf{!!!}}
\begin{itemize}
\item CONV-TTL-BLO User Guide \cite{ctb-ug}
\end{itemize}
%==============================================================================
% SEC: Clocks
%==============================================================================
\section{FPGA Clocks}
\label{sec:clocks}
There are two clock signals input to the FPGA (Figure~\ref{fig:clocks}).
The first is a 20~MHz signal from a VCXO. The second clock signal with a frequency
of 125~MHz is generated on-board via a Texas Instruments PLL IC from a 25~MHz VCXO.
Two DACs are provided on-board for controlling the two VCXOs. The DACs can be
controlled via SPI, but this feature is not yet implemented.
\begin{figure}
\centerline{\includegraphics[width=\textwidth]{fig/clocks}}
\caption{FPGA clock inputs}
\label{fig:clocks}
\end{figure}
Table~\ref{tbl:clocks} lists the clock domains in the firmware.
\begin{table}[h]
\caption{Clock domains}
\label{tbl:clocks}
\centerline
{
\begin{tabular}{c c l}
\hline
\textbf{Clock domain} & \textbf{Frequency} & \multicolumn{1}{c}{\textbf{Comments}} \\
\hline
\textit{clk125} & 125~MHz & Global clock input to all sequential logic \\
\hline
\end{tabular}
}
\end{table}
%==============================================================================
% SEC: Pulse gen
%==============================================================================
\section{Pulse generators}
\label{sec:pulse-gen}
\begin{table}[h]
\caption{Pulse generator blocks}
\label{tbl:pulse-gen}
\centerline
{
\begin{tabular}{l l l}
\hline
\textbf{Entity} & \textit{ctb\_pulse\_gen} & \\
\textbf{Generics} & \textit{g\_pulse\_width} & Width of the output pulse in \textit{clk\_i} cycles \\
& \textit{g\_glitch\_filt\_len} & Length of glitch filter \\
\textbf{Ports} & \textit{clk\_i} & Clock signal \\
& \textit{rst\_n\_i} & Active-low reset signal \\
& \textcolor{red}{\textit{glitch\_filt\_en\_n}} & \textcolor{red}{Active-low glitch filter enable} \\
& \textit{en\_i} & Pulse generator enable \\
& \textit{trig\_i} & Pulse trigger \\
& \textit{pulse\_o} & Pulse output \\
\textbf{Usage} & Output pulse & 1.2~${\mu}s$ pulses \\
& Flash pulse LEDs & 96~$ms$ pulses \\
\hline
\end{tabular}
}
\end{table}
The \textit{ctb\_pulse\_gen} (Table~\ref{tbl:pulse-gen}) blocks are twice used in the
CONV-TTL-BLO firmware. First, they are used for generating the output pulses based on
the trigger input. In this case, they are configured for 1.2~${\mu}s$ pulses
(\textit{g\_pulse\_width = 150}, considering the 8~$ns$ clock input).
Second, they are used for blinking the front and rear-panel pulse LEDs
when a pulse is generated. In this second case, the pulse generator blocks are
configured to generate 96~$ms$ pulses (\textit{g\_pulse\_width} $= 12*10^6$), enough
to be visible to the human eye.
In both cases, the logic associated to the blocks is multiplied by six, since
there are six replication channels.
%------------------------------------------------------------------------------
% SUBSEC: Implem
%------------------------------------------------------------------------------
\subsection{Implementation}
\label{sec:pulse-gen-implem}
Figure~\ref{fig:pulse-gen} shows the implementation of the \textit{ctb\_pulse\_gen}
block. It employs a simple counter finite-state machine (FSM) that is used to generate
a fixed-width pulse at the output.
\begin{figure}[h]
\includegraphics[width=\textwidth]{fig/pulse-gen}
\caption{Pulse generator block}
\label{fig:pulse-gen}
\end{figure}
The block contains a glitch filter (Section~\ref{sec:glitch-filt}) that can be used
to decrease sensitivity to glitches in noisy environments. The glitch filter length
can be enabled via the \textit{glitch\_filt\_en\_n} input (connected to SW1.1 on the
CONV-TTL-BLO). The length of the filter can be set via the \textit{g\_glitch\_filt\_len}
generic.
Enabling the glitch filter will lead to the trigger being sampled using \textit{clk125}
and introduces leading-edge jitter on the \textit{pulse\_o} output. To avoid this
leading-edge pulse jitter, the glitch filter can be disabled.
In whatever the case (glitch filter enabled or not), the FSM reacts to the
rising edge of one of its two start inputs. A rising edge on an input starts
the internal counter, which counts up to a maximum value of \textit{g\_pulse\_width}.
The behavior of the outputs are different, depending on the state of the glitch filter.
With the glitch filter disabled, the input pulse enables the
input flip-flop, which starts pulse generation. The pulse signal is then synchronized
in the \textit{clk125} domain and input to the synchronous counter FSM. The rising
edge on \textit{SGF0} triggers the counter, and when the counter reaches the maximum
value it sets the \textit{OGF0} output for one clock cycle, which will reset the
input flip-flop, thus ending the pulse.
With the glitch filter enabled, the rising edge on \textit{SGF1} sets \textit{OGF1},
and this will be kept high until the counter reaches the maximum value.
%------------------------------------------------------------------------------
% SUBSEC: Board-level
%------------------------------------------------------------------------------
\subsection{Board-level view}
\label{sec:pulse-gen-brdlvl}
The use of the pulse generator module is put into perspective in this section.
Figure~\ref{fig:pulse-brd} shows the pulse replication mechanism on the
CONV-TTL-BLO, where the \textit{PG} block is the \textit{ctb\_pulse\_gen} block.
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{../ug/fig/pulse-rep}}
\caption{Board-level view of pulse replication mechanism}
\label{fig:pulse-brd}
\end{figure}
Considering that the counter FSM in the \textit{ctb\_pulse\_gen} reacts to
rising edges on its inputs, it can now be understood why the \textit{PG} block
in Section~4.3 of \cite{ctb-ug} expects TTL type pulses at its inputs.
The \textit{no sig. detect} block at the multiplexer input on the TTL-BAR side
detects the lack of a signal by checking for a continuous high level on the line.
This is important when the TTL selection switch is set to TTL-BAR, since no signal
would mean a DC high-level signal appears at the OR gate input and this signal would
inhibit pulses arriving from the blocking side.
\begin{figure}[h]
\centerline{\includegraphics[scale=1]{fig/no-sig-detect}}
\caption{No signal detect block}
\label{fig:no-sig-detect}
\end{figure}
The implementation of the \textit{no sig. detect} block in Figure~\ref{fig:pulse-brd} is
shown in Figure~\ref{fig:no-sig-detect}. The block is implemented as a counter which
keeps the \textit{en\_o} signal high as long as it does not reach its maximum value.
The counter counts up when the \textit{cnt} input is high. By setting the maximum value
of the counter to 12499, it disables the line to the multiplexer if this stays high
for 100~${\mu}s$, thus allowing for blocking pulses at the input of the OR gate. The line
is re-enabled as soon as it goes back low, i.e., when a wire has been plugged in to the
channel.
%==============================================================================
% SEC: Glitch filt
%==============================================================================
\section{Glitch filter}
\label{sec:glitch-filt}
%==============================================================================
% Bibliography
......@@ -89,4 +285,4 @@ the CONV-TTL-BLO capabilities:
\bibliographystyle{ieeetr}
\bibliography{hdlguide-conv-ttl-blo}
\end{document}
\ No newline at end of file
\end{document}
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment