Commit 0d302c8c authored by Lucas Russo's avatar Lucas Russo

modules/fmc130m_4ch: fix wrong FMC ADC COMMON address

parent b7d91493
......@@ -110,7 +110,7 @@ smio_fmc130m_4ch_t * smio_fmc130m_4ch_new (smio_t *parent)
_smio_fmc130m_4ch_set_type (self, data_24aa64);
DBE_DEBUG (DBG_SM_IO | DBG_LVL_TRACE, "[sm_io:fmc130m_4ch_core] Registering FMC_ADC_COMMON SMIO\n");
smio_register_sm (parent, 0x2403f569, FMC_130M_FMC_ADC_COMMON_REGS_OFFS, inst_id);
smio_register_sm (parent, 0x2403f569, FMC_130M_FMC_ADC_COMMON_OFFS, inst_id);
/* Now, initialize the FMC130M_4CH with the appropriate structures*/
if (self->type == TYPE_FMC130M_4CH_ACTIVE) {
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment