• Lucas Russo's avatar
    modules/*/*trigger_iface: fix bogus receiving pulse event when transmitting · 16a04632
    Lucas Russo authored
    IOBUF primitives always have the "input"
    signal available for further use. This means
    that even when transmitting signals (buffer
    is output) the input signal will have a copy
    of the transmitted pulse.
    
    This is principle is not a problem, but we
    were using this signal to count received events,
    so we changed this to use this signal only if
    the buffer direction is set to input.
    16a04632
Name
Last commit
Last update
hdl Loading commit data...
history Loading commit data...
scripts Loading commit data...
.gitignore Loading commit data...
.gitmodules Loading commit data...
COPYING Loading commit data...
README Loading commit data...