Commit d8b319b5 authored by Lucas Russo's avatar Lucas Russo

syn/afc_v3/*: temporary fix for generating synthesis_descriptor_pkg

The previous approach on using the syn_pre_cmd
in Manifest.py was not working very well, and
the hdlmake was not including the synthesis_descriptor_pkg
in the .xpr project.
parent 0e48d39c
......@@ -8,11 +8,13 @@ syn_top = "dbe_bpm"
syn_project = "dbe_bpm"
syn_tool = "vivado"
syn_pre_cmd = "./build_synthesis_sdb.sh"
files = ["synthesis_descriptor_pkg.vhd"];
import os
import sys
if os.path.isfile("synthesis_descriptor_pkg.vhd"):
files = ["synthesis_descriptor_pkg.vhd"];
else:
sys.exit("Generate the SDB descriptor before using HDLMake (./build_synthesis_sdb.sh)")
machine_pkg = "uvx_130M"
modules = { "local" : [ "../../../../top/afc_v3/vivado/dbe_bpm" ] };
......@@ -5,7 +5,7 @@ set -e
# Check for uninitialized variables
set -u
COMMAND="(hdlmake; time make; date) 2>&1 | tee make_output &"
COMMAND="(./build_synthesis_sdb.sh; hdlmake; time make; date) 2>&1 | tee make_output &"
echo $COMMAND
eval $COMMAND
......@@ -8,11 +8,13 @@ syn_top = "dbe_bpm2"
syn_project = "dbe_bpm2"
syn_tool = "vivado"
syn_pre_cmd = "./build_synthesis_sdb.sh"
files = ["synthesis_descriptor_pkg.vhd"];
import os
import sys
if os.path.isfile("synthesis_descriptor_pkg.vhd"):
files = ["synthesis_descriptor_pkg.vhd"];
else:
sys.exit("Generate the SDB descriptor before using HDLMake (./build_synthesis_sdb.sh)")
machine_pkg = "uvx_250M";
modules = { "local" : [ "../../../../top/afc_v3/vivado/dbe_bpm2" ] };
......@@ -5,7 +5,7 @@ set -e
# Check for uninitialized variables
set -u
COMMAND="(hdlmake; time make; date) 2>&1 | tee make_output &"
COMMAND="(./build_synthesis_sdb.sh; hdlmake; time make; date) 2>&1 | tee make_output &"
echo $COMMAND
eval $COMMAND
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment