Commit c412a235 authored by Adrian Byszuk's avatar Adrian Byszuk

Switch to simulation with Modelsim/Questa. ISim is so buggy that it doesn't work either way.

parent c5c76105
This diff is collapsed.
......@@ -18,13 +18,16 @@ def __import_verilog_lib():
target = "xilinx"
action = "simulation"
vlog_opt = "-i ../../sim/pcie -d SIMULATION"
#pickup correct top file
syn_device = "xc7k325t"
vlog_opt = "+incdir+../../sim/pcie +define+SIMULATION"
# ENABLE_GT has to be set until I figure out a way
# to force ISIM to work in PIPE simulation mode
vlog_opt += " -d ENABLE_GT"
#vlog_opt += " +define+ENABLE_GT"
# DDR model options
vlog_opt += " -i ../../sim/ddr_model -d x1Gb -d sg125 -d x8"
vsim_opt = "-testplusarg TESTNAME=tf64_pcie_axi"
vlog_opt += " +incdir+../../sim/ddr_model +define+x1Gb +define+sg125 +define+x8"
vsim_opt = "+TESTNAME=tf64_pcie_axi -t fs -novopt +notimingchecks -L unisims_ver -L secureip -L unimacro_ver glbl"
__import_verilog_lib()
files = ["board.v",
......
vsim -novopt +TESTNAME=tf64_pcie_axi -t fs +notimingchecks -L work \
-L unisims_ver -L secureip -L unimacro_ver work.board glbl
log -r /*
radix hex
set NumericStdNoWarnings 1
set StdArithNoWarnings 1
#add signals to wave window
do wave.do
run -all
This source diff could not be displayed because it is too large. You can view the blob instead.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment