Commit 8960ece8 authored by Lucas Russo's avatar Lucas Russo

various: rename wishbone and common folders

Now, custom_wishbone is dbe_wishbone and custom_common is dbe_common
parent d89ef5f1
#fetchto = "ip_cores"
modules = { "local": [
"modules/custom_wishbone",
"modules/custom_common",
"modules/dbe_wishbone",
"modules/dbe_common",
"modules/rffe_top",
"modules/ethmac",
"modules/fabric",
"ip_cores/general-cores",
"ip_cores/etherbone-core",
......
modules = { "local" : ["reset_synch"] };
files = [ "custom_common_pkg.vhd" ];
files = [ "dbe_common_pkg.vhd" ];
library ieee;
use ieee.std_logic_1164.all;
package custom_common_pkg is
package dbe_common_pkg is
--------------------------------------------------------------------
-- Components
--------------------------------------------------------------------
component reset_synch
port
port
(
clk_i : in std_logic;
arst_n_i : in std_logic;
rst_n_o : out std_logic
);
end component;
end custom_common_pkg;
end dbe_common_pkg;
files = [ "custom_wishbone_pkg.vhd" ];
files = [ "dbe_wishbone_pkg.vhd" ];
modules = { "local" : [
"wb_stream",
"wb_fmc150",
"wb_fmc516",
"wb_ethmac_adapter",
"wb_dbe_periph",
# "wb_fmc_iface"
"wb_ethmac_adapter",
"wb_ethmac",
"wb_dbe_periph"
] };
......@@ -8,7 +8,7 @@ use work.wb_stream_generic_pkg.all;
use work.fmc516_pkg.all;
use work.wr_fabric_pkg.all;
package custom_wishbone_pkg is
package dbe_wishbone_pkg is
--------------------------------------------------------------------
-- Components
......@@ -923,4 +923,4 @@ package custom_wishbone_pkg is
date => x"20130703",
name => "LNLS_POSITION_CALC ")));
end custom_wishbone_pkg;
end dbe_wishbone_pkg;
......@@ -3,7 +3,7 @@ use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.custom_wishbone_pkg.all;
use work.dbe_wishbone_pkg.all;
use work.wishbone_pkg.all;
entity wb_dbe_periph is
......
......@@ -3,7 +3,7 @@ use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.custom_wishbone_pkg.all;
use work.dbe_wishbone_pkg.all;
use work.wishbone_pkg.all;
entity xwb_dbe_periph is
......
......@@ -10,7 +10,7 @@ library work;
use work.genram_pkg.all;
use work.gencores_pkg.all;
use work.wishbone_pkg.all;
use work.custom_wishbone_pkg.all;
use work.dbe_wishbone_pkg.all;
use work.custom_common_pkg.all;
------------------------------------------------------------------------------
......
......@@ -10,7 +10,7 @@ library work;
use work.genram_pkg.all;
use work.gencores_pkg.all;
use work.wishbone_pkg.all;
use work.custom_wishbone_pkg.all;
use work.dbe_wishbone_pkg.all;
use work.custom_common_pkg.all;
------------------------------------------------------------------------------
......
......@@ -8,7 +8,7 @@ use ieee.numeric_std.all;
library work;
use work.wishbone_pkg.all;
use work.custom_wishbone_pkg.all;
use work.dbe_wishbone_pkg.all;
------------------------------------------------------------------------------
-- Entity section
......
......@@ -8,7 +8,7 @@ use ieee.numeric_std.all;
library work;
use work.wishbone_pkg.all;
use work.custom_wishbone_pkg.all;
use work.dbe_wishbone_pkg.all;
------------------------------------------------------------------------------
-- Entity section
......
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment