Commit 80dd1fe7 authored by Lucas Russo's avatar Lucas Russo

hdl/modules/*: fix ethmac slave adapter

parent 27327773
......@@ -3,7 +3,7 @@ files = [ "custom_wishbone_pkg.vhd" ];
modules = { "local" : [
"wb_stream",
"wb_fmc150",
"wb_fmc516",
"wb_ethmac_adapter"
# "wb_fmc_iface"
# "wb_fmc516",
"wb_ethmac_adapter"
# "wb_fmc_iface"
] };
......@@ -49,7 +49,7 @@ package ethmac_pkg is
wb_ack_o : out std_logic;
wb_err_o : out std_logic;
wb_stall_o : out std_logic;
wb_rty_o : out std_logic;
--wb_rty_o : out std_logic;
-- WISHBONE master
m_wb_adr_o : out std_logic_vector(31 downto 0);
......@@ -62,7 +62,7 @@ package ethmac_pkg is
m_wb_ack_i : in std_logic;
m_wb_err_i : in std_logic;
m_wb_stall_i : in std_logic;
m_wb_rty_i : in std_logic;
--m_wb_rty_i : in std_logic;
-- PHY TX
mtx_clk_pad_i : in std_logic;
......@@ -143,7 +143,9 @@ package ethmac_pkg is
wbd_width => x"4", -- 32-bit port granularity (0100)
sdb_component => (
addr_first => x"0000000000000000",
addr_last => x"0000000000ffffff", -- FIX THIS address!
--addr_last => x"00000000000001ff",
--addr_last => x"0000000000000fff",
addr_last => x"000000000000ffff",
product => (
vendor_id => x"100000004E2C05E5", -- OpenCores
device_id => x"f8cfeb16",
......
This diff is collapsed.
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment