Commit bc6bcba1 authored by kblantos's avatar kblantos

Change in Makefile. Now in every single error, the CI will stop

parent 9bdb08cd
Pipeline #3946 passed with stage
in 26 minutes and 16 seconds
......@@ -15,7 +15,6 @@ vhdl_cores_simulation:
script:
- /entrypoint.sh
- cd testbench/
- git submodule init && git submodule update
- make
# artifacts:
# paths:
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
......@@ -3,30 +3,37 @@
#This is a simple script to run simulations in GHDL
TB=tb_gc_async_signals_input_stage
echo "******************************************************************************************"
echo "Running simulation for $TB"
echo "******************************************************************************************"
echo ""
echo "**************************TEST CASE 1 *****************************************************"
echo "Number of input signals = 1, extended clock cycles = 0, cycles that filter out glitches = 0"
ghdl -r --std=08 -frelaxed-rules $TB -gg_seed=$RANDOM -gg_signal_num=1 -gg_extended_pulse_width=0 -gg_dglitch_filter_len=0
ghdl -r --std=08 -frelaxed-rules $TB -gg_seed=$RANDOM -gg_signal_num=1 -gg_extended_pulse_width=0 -gg_dglitch_filter_len=0
echo""
echo "**************************TEST CASE 2 *****************************************************"
echo "Number of input signals = 1, extended clock cycles = 0, cycles that filter out glitches = 1"
ghdl -r --std=08 -frelaxed-rules $TB -gg_seed=$RANDOM -gg_signal_num=1 -gg_extended_pulse_width=0 -gg_dglitch_filter_len=1
echo ""
echo "**************************TEST CASE 3 *****************************************************"
echo "Number of input signals = 2, extended clock cycles = 2, cycles that filter out glitches = 2"
ghdl -r --std=08 -frelaxed-rules $TB -gg_seed=$RANDOM -gg_signal_num=2 -gg_extended_pulse_width=2 -gg_dglitch_filter_len=2
echo ""
echo "**************************TEST CASE 4 *****************************************************"
echo "Number of input signals = 2, extended clock cycles = 2, cycles that filter out glitches = 4"
ghdl -r --std=08 -frelaxed-rules $TB -gg_seed=$RANDOM -gg_signal_num=2 -gg_extended_pulse_width=2 -gg_dglitch_filter_len=4
echo ""
echo "**************************TEST CASE 5 *****************************************************"
echo "Number of input signals = 4, extended clock cycles = 4, cycles that filter out glitches = 6"
ghdl -r --std=08 -frelaxed-rules $TB -gg_seed=$RANDOM -gg_signal_num=4 -gg_extended_pulse_width=4 -gg_dglitch_filter_len=6
echo ""
echo "**************************TEST CASE 6 *****************************************************"
echo "Number of input signals = 8, extended clock cycles = 8, cycles that filter out glitches = 10"
ghdl -r --std=08 -frelaxed-rules $TB -gg_seed=$RANDOM -gg_signal_num=8 -gg_extended_pulse_width=8 -gg_dglitch_filter_len=10
......@@ -130,8 +130,8 @@ begin
ncycles := ncycles + 1;
end loop;
report "Number of Simulation cycles = " & to_string(ncycles);
stop <= true;
report "Test PASS!";
stop <= TRUE;
wait;
end process stim;
......@@ -153,32 +153,38 @@ begin
check_signals_p1 : process
begin
wait until rising_edge(tb_clk_i) and tb_rst_n_i = '1';
wait until rising_edge(tb_signals_o(i));
wait for 2*C_CLK_PERIOD;
assert (tb_signals_p1_o(i) = '1')
while not stop loop
wait until rising_edge(tb_clk_i) and tb_rst_n_i = '1';
wait until rising_edge(tb_signals_o(i));
wait for 2*C_CLK_PERIOD;
assert (tb_signals_p1_o(i) = '1')
report "Wrong value for single-clock output" severity failure;
end loop;
end process;
-- Checking that signals_pn is '1' on rising or falling edge (depending the conf.)
gen_with_pulse_extender : if g_extended_pulse_width>1 generate
check_signals_pn : process
begin
wait until (tb_signals_o(i) = '1');
wait for g_extended_pulse_width*C_CLK_PERIOD;
assert (tb_signals_pn_o(i) = '1')
while not stop loop
wait until (tb_signals_o(i) = '1');
wait for g_extended_pulse_width*C_CLK_PERIOD;
assert (tb_signals_pn_o(i) = '1')
report "Wrong value for multi-clock output" severity failure;
end loop;
end process;
end generate gen_with_pulse_extender;
-- Value of signals_p1 and signals_pn is the same, except that pn is extended
check_same_value : process
begin
wait until rising_edge(tb_clk_i) and tb_rst_n_i = '1';
wait until rising_edge(tb_signals_o(i));
wait for 2*C_CLK_PERIOD;
assert (tb_signals_p1_o(i) = tb_signals_pn_o(i))
while not stop loop
wait until rising_edge(tb_clk_i) and tb_rst_n_i = '1';
wait until rising_edge(tb_signals_o(i));
wait for 2*C_CLK_PERIOD;
assert (tb_signals_p1_o(i) = tb_signals_pn_o(i))
report "different output pulses" severity failure;
end loop;
end process;
end generate gen_signals;
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
#In case we need to see waveforms, type --wave=waveform.ghw
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
#In case we need to see waveforms, type --wave=waveform.ghw
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
#In case we need to see waveforms, type --wave=waveform.ghw
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
#In case we need to see waveforms, type --wave=waveform.ghw
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
#!/bin/bash
#!/bin/bash -e
#This is a script to run multiple simulations in Modelsim
......
#!/bin/bash
#!/bin/bash -e
#This is a simple script to run simulations
#in GHDL
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment