Commit fc47e0f9 authored by Dimitris Lampridis's avatar Dimitris Lampridis

hdl: remove DAC signals from t_mt_timing_if record. Not used by anything in MT

parent 9aa2045d
......@@ -35,8 +35,6 @@ package mock_turtle_pkg is
type t_mt_timing_if is record
link_up : std_logic;
dac_value : std_logic_vector(23 downto 0);
dac_wr : std_logic;
time_valid : std_logic;
tai : std_logic_vector(39 downto 0);
cycles : std_logic_vector(27 downto 0);
......@@ -45,8 +43,6 @@ package mock_turtle_pkg is
constant c_DUMMY_MT_TIMING : t_mt_timing_if := (
link_up => '0',
dac_value => (others => '0'),
dac_wr => '0',
time_valid => '0',
tai => (others => '0'),
cycles => (others => '0'),
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment