Commit 4a0e7301 authored by Dimitris Lampridis's avatar Dimitris Lampridis

hdl: fix building of svec_mt_demo_wr

parent 80e0f4c8
*
!.gitignore
!Manifest.py
!svec_mt_demo_wr.ucf
This source diff could not be displayed because it is too large. You can view the blob instead.
......@@ -510,7 +510,7 @@ begin -- architecture arch
generic map (
g_simulation => f_to_integer(g_simulation),
g_with_external_clock_input => false,
g_dpram_initf => "wrc.bram",
g_dpram_initf => "../../ip_cores/wr-cores/bin/wrpc/wrc_phy8.bram",
g_fabric_iface => PLAIN)
port map (
clk_20m_vcxo_i => clk_20m_vcxo_i,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment