Commit 422f4b77 authored by Dimitris Lampridis's avatar Dimitris Lampridis Committed by Federico Vaga

hdl: add init values to MQ memories, helps with simulation

parent 12eb6e34
......@@ -59,10 +59,10 @@ architecture arch of mt_mqueue_slot is
type t_slot_mem_array is
array (0 to c_MEMORY_SIZE-1) of std_logic_vector(7 downto 0);
shared variable mem0 : t_slot_mem_array;
shared variable mem1 : t_slot_mem_array;
shared variable mem2 : t_slot_mem_array;
shared variable mem3 : t_slot_mem_array;
shared variable mem0 : t_slot_mem_array := (others => (others => '0'));
shared variable mem1 : t_slot_mem_array := (others => (others => '0'));
shared variable mem2 : t_slot_mem_array := (others => (others => '0'));
shared variable mem3 : t_slot_mem_array := (others => (others => '0'));
signal mem_raddr, mem_waddr : unsigned(c_MEMORY_ADDR_BITS-1 downto 0);
signal mem_rdata_in, mem_rdata_out : std_logic_vector(31 downto 0);
......@@ -73,10 +73,10 @@ architecture arch of mt_mqueue_slot is
signal hdr_raddr, hdr_waddr : unsigned(c_HEADER_ADDR_BITS-1 downto 0);
signal hdr_rdata_in, hdr_rdata_out : std_logic_vector(31 downto 0);
shared variable hdr0 : t_header_mem_array;
shared variable hdr1 : t_header_mem_array;
shared variable hdr2 : t_header_mem_array;
shared variable hdr3 : t_header_mem_array;
shared variable hdr0 : t_header_mem_array := (others => (others => '0'));
shared variable hdr1 : t_header_mem_array := (others => (others => '0'));
shared variable hdr2 : t_header_mem_array := (others => (others => '0'));
shared variable hdr3 : t_header_mem_array := (others => (others => '0'));
signal rd_ptr, wr_ptr : unsigned(g_CONFIG.entries_bits-1 downto 0);
signal occupied : unsigned(7 downto 0);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment