Commit f3e359aa authored by Dave Newbold's avatar Dave Newbold

Adding test output

parent dd59b6a7
......@@ -27,8 +27,8 @@ entity sc_channels is
rst40: in std_logic;
clk160: in std_logic;
clk280: in std_logic;
d_p: in std_logic_vector(N_CHAN - 1 downto 0);
d_n: in std_logic_vector(N_CHAN - 1 downto 0);
d_p: inout std_logic_vector(N_CHAN - 1 downto 0);
d_n: inout std_logic_vector(N_CHAN - 1 downto 0);
sync_ctrl: in std_logic_vector(3 downto 0);
zs_sel: in std_logic_vector(1 downto 0);
sctr: in std_logic_vector(47 downto 0);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment