Commit b745568a authored by Dave Newbold's avatar Dave Newbold

Fixing sync input and LEDs

parent 15f873df
......@@ -107,7 +107,7 @@ begin
sel <= ctrl(0)(28 downto 24);
stealth_mode <= ctrl_stealth_mode;
userled <= daq_led and not stealth_mode;
userled <= daq_led and not ctrl_stealth_mode;
-- Required for timing alignment at inputs
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment