Commit acdd6b29 authored by Dave Newbold's avatar Dave Newbold

Adjusting timestamp counters

parent 0b20f534
...@@ -72,7 +72,7 @@ begin ...@@ -72,7 +72,7 @@ begin
begin begin
if rising_edge(clk40) then if rising_edge(clk40) then
if trig_en = '0' then if trig_en = '0' then
bctr <= 1; bctr <= to_unsigned(1, bctr'length);
elsif mark = '1' then elsif mark = '1' then
bctr <= bctr + 1; bctr <= bctr + 1;
end if; end if;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment